Ecosyste.ms: OpenCollective

An open API service for software projects hosted on Open Collective.

github.com/llvm/circt

Circuit IR Compilers and Tools
https://github.com/llvm/circt

[Ibis] Add `ibis.sblock` inlining operations and passes (#6145)

Inlines `ibis.sblock` operations, by creating MLIR blocks and `cf` operations, while adding anno...

6480820d96062b3cb9607962bc474610dfbed5fc authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Firtool] Move the remaining pass additions into lib

7fdad47176cfbe5a9227f5993e715c899b601694 authored about 1 year ago by Sprite <[email protected]>
[scf-to-calyx] Support for function call (#5965)

89f824677d70dd0e6a4e84663c7bc22fce6f3b2e authored about 1 year ago by lonely eagle <[email protected]>
Add SymbolTable.h to InstanceGraphInterface.h fix build breakage.

Broken in da1802f90702b64fac1994ba3bf9a7ec6165f665 .

05d84c1659cfc833e94a6357c8cee9bf502a037b authored about 1 year ago by Will Dietz <[email protected]>
[HW][NFC] Provide default implementations to HW instance accessors

da1802f90702b64fac1994ba3bf9a7ec6165f665 authored about 1 year ago by Nandor Licker <[email protected]>
[FIRRTL][FIRParser] Add AnyRef cast as-needed for agg prop expr's. (#6135)

This already is supported for propassign (object -> anyref),
allow in List/Map expressions as w...

1018fa292acf570d0f8bb7268f16c79a93fb4bc0 authored about 1 year ago by Will Dietz <[email protected]>
[Seq] Lower clock types nested within aggregates (#6138)

Fixes #6136

437ab6ac996b0c80664edee1389578517eca466d authored about 1 year ago by Nandor Licker <[email protected]>
[Seq] Switch all seq ops to use seq.clock (#6139)

0fc865616830172f0f735d6326a31b9a50c29ab1 authored about 1 year ago by Nandor Licker <[email protected]>
[Seq] Convert `seq.clock_gate` to use the clock type exclusively (#6134)

This PR restricts the clock gate op to solely use the clock type.

Uses in other dialects, esp...

83c10b809e06a9f6dba89a3c6ff9493756fb4533 authored about 1 year ago by Nandor Licker <[email protected]>
[Handshake] Allow handshake ops to be used outside of a `handshake.func` (#6132)

... by requiring that handshake ops are nested within an operation that inherits the `FineGraine...

83abbfc0f44dfea355a5a99d3691997c5834e6ac authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Arc] Allow top-level logic in LowerState; detect clock edges (#6142)

Make the `LowerState` pass allow operations to remain in the top-level
`arc.model` op after sta...

5f5ea8fc41c891587dc649f0fcbab136e4f3e2a6 authored about 1 year ago by Fabian Schuiki <[email protected]>
[Arc] Add LowerVectorizations pass (#6141)

Adds a pass to lower operations. Currently, only lowering the boundary and inlining the op body...

d87474fef774d10cdc10fbd0ba7fd72ad2eb0729 authored about 1 year ago by Martin Erhart <[email protected]>
[Arc] Improve performance of state update legalization (#6137)

Rewrite the access analysis used in the `LegalizeStateUpdate` pass.

This pass is a known perf...

e2eb7c66b0e079acba2a763118b5cdc9de9817fa authored about 1 year ago by Fabian Schuiki <[email protected]>
[Arc] Include pass base only where needed

Currently, all the pass base classes are included in all files
implementing one specific pass. T...

089850fc53f460c03837e6c007833781b7a98135 authored about 1 year ago by Martin Erhart <[email protected]>
[NFC] Whitespace fix

a2f2aac4d245b8a462504232f621a128de5b9e9d authored about 1 year ago by Nandor Licker <[email protected]>
[arcilator] Register OM dialect

91e2e1e4a549d05f7a63638a5ad5408dc92ef0ae authored about 1 year ago by Fabian Schuiki <[email protected]>
[NFC] Quiet unused variable/function warnings.

Don't use LLVM_ATTRIBUTE_UNUSED on variables, should use either
[[maybe_unused]] or just (void)x.

e250edff088841a113fbc63e51ba3e3f59786506 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][Dedup] Skip modules with syms we can't drop, classes. (#6133)

Don't dedup away modules marked for non-private visibility,
or otherwise can't have their symbo...

912f21099a9a11a5d8f2aff8b5ad547d645aa67d authored about 1 year ago by Will Dietz <[email protected]>
[HW][NFC] Cleanup unused lambdas, fix warning, touchup.

f10a57852e75a2879c346fb8cfc0627cc6aca065 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][Dedup] An extmodule without defname is unique. (#6129)

1dafa73241557fed713405f09612a6828594c78e authored about 1 year ago by Will Dietz <[email protected]>
[HW] Unify port location arrays and port attribute arrays (#6116)

Finally convert HWModule*Op over to a unified port representation. This still uses the old prin...

4935e1f36e985eda33a112477935a9804fafce7d authored about 1 year ago by Andrew Lenharth <[email protected]>
[MSFT] Introduce AppIDPathAttr

Represents a full appid path. Just a list of AppIDAttrs components and a
root module. Expose to ...

e23e94542fda8440f6b1136201ed0119aca8725a authored about 1 year ago by John Demme <[email protected]>
[OM] Add path append op (#6073)

Add the path append op to the OM dialect.
Co-authored-by: Andrew Young <[email protected]>

1cab0be099bf46b7607d615ab36975a16d7c6da8 authored about 1 year ago by Prithayan Barua <[email protected]>
[PyCDE] XFail'ing a test which isn't strictly necessary

Known issue: #6130.

9206e408a9bb6a0dc63dae93021b3447b86cfadf authored about 1 year ago by John Demme <[email protected]>
[PyCDE] Move off of msft.module to hw.module (#6122)

This is relatively easy due to my module class refactoring earlier this
year. It's updating the...

d6a82e1fc5b371d1c1898d726ad47b069f456cbd authored about 1 year ago by John Demme <[email protected]>
[FIRRTL][LowerClasses] Handle InstanceTarget. (#6126)

Reject if does not target what it should, or is deleted.

8f79c3828862d73ceb6dc1cbcd2e8e0f67cdf0ba authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][Inliner] Use wires for all. (#6127)

The issue causing this to be reverted is now fixed,
re-enable and restore simplification.

Th...

fc1681f23485c44dc61c86f2a5128c6a2943f057 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][Parser] Wires of non-agg non-hw should have droppable names. (#6102)

cc #6099.

d7344d8b51cd9fb75c5f97ea1e35b97536e35339 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][IMCP] Fix handling of refsub, send/resolve, fields. (#6100)

* Recognize RefSubOp as "aggregate indexer".
* Compute and propagate via FieldRefs into inner (...

be40ce13e5f9cb98e84053d8902fa745cc8fc650 authored about 1 year ago by Will Dietz <[email protected]>
[Ibis] Rename `ibis.block` -> `ibis.sblock` (#6125)

f528189a8cd2342ff1ae7ccd4466687b16865016 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[HW] Support parametric UnpackedArrayType (#6119)

3e1105e9f1dffd313238a0def8ec2d2975f9528b authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Ibis] Add ibis reblock pass (#6089)

Recreates `ibis.block` operations from a CFG. Any `ibis.block.attributes` operations at the pare...

b5ea7daabdaa9808af8c5dab3f5b3d141ea311ce authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Pipeline] Fix issue in ExplicitRegs (#6118)

The order of pass and reg operands was incorrect in between the order that block arguments were ...

9ca53bc9a175f4168af2dffee934e98cde34fcde authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Arc] Introduce vectorize operation (#6120)

Hardware designs commonly have a considerable amount of duplicated logic
for parallel execution...

1e1a461ba09de7e7024b4317f6739c36a9d93a1a authored about 1 year ago by Martin Erhart <[email protected]>
[OM] Add missing OMInstanceTarget to path kind enumeration

b47bfc20a3549323624d443ae3b8c646463669d8 authored about 1 year ago by Andrew Young <[email protected]>
[FIRRTL][ResolvePaths] Handle OMInstanceTarget kind

13bc6b541763d0aad88c4ddc05996c1f201f1be1 authored about 1 year ago by Andrew Young <[email protected]>
[FIRRTL] Add missing OMInstanceTarget to path kind enumeration

cebe6ab8668250ce952c15f16ffc4eabe6b94820 authored about 1 year ago by Andrew Young <[email protected]>
[OM][FreezePaths] Handle OMInstanceTarget kind

3a70103d43eb140a794f43c27ee0c5a652b6941b authored about 1 year ago by Andrew Young <[email protected]>
[Python] Expose OutputFileAttr to Python

fec17895c8276634c9aa44b843aaac51474f8718 authored about 1 year ago by John Demme <[email protected]>
[CI] Use macOS universal wheels for M-series, add Python 3.9 and 3.11 support (#5822)

See https://cibuildwheel.readthedocs.io/en/stable/faq/#apple-silicon

34dfff1d0acbe3e87104f5250bf0d372285e04f3 authored about 1 year ago by Lenny Truong <[email protected]>
[FIRRTL][LowerClasses] add test that paths to modules work

This test already passes but seems important to capture that this should work.

afe2beb03ff629c98d435452dc54458bb11e870c authored about 1 year ago by Andrew Young <[email protected]>
[firtool] run FreezePaths before exporting final MLIR

191827fa6b876741eca828f76f237f7a049e2466 authored about 1 year ago by Andrew Young <[email protected]>
[firtool] register OM passes

`firtool` runs uses OM passes in its pipeline, and registering these passes
enables debugging ut...

b65e13d866b13d67b9c15687b8cd3c7668750733 authored about 1 year ago by Andrew Young <[email protected]>
[OM] Add FreezePaths pass

This adds the FreezePaths pass which performs the final lowering of path
operations after Export...

a8987d4568d2dbedfeed22f2fe29cfbb8d8a6fef authored about 1 year ago by Andrew Young <[email protected]>
Remove CallableOpInterface where redundant

4dbbf6ae67e10a41d561e237063b4a539897a8ed authored about 1 year ago by Martin Erhart <[email protected]>
[OM] Handle AnyType casts in Evaluator. (#6106)

These casts are introduced in situations where the type of a value
needs to be opaque. In the E...

7d7b1d44be20ba01314dca71334c458eb2f35252 authored about 1 year ago by Mike Urbach <[email protected]>
[Pipeline] Add non-stallable pipeline stages (#6018)

See rationale changes for an in-depth description of the why's and how's of this change.

f3c9aa13eee91713eccc35b6e95e53a20f54a503 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[SV] Add optional `init` operand to `sv.reg` (#6002)

8f4abacef5ec29c11f4455ea9e4de7f95960cc1c authored about 1 year ago by Morten Borup Petersen <[email protected]>
[FIRRTL] Update LowerClasses to handle AnyRef and AnyRef cast. (#6117)

The FIRRTL AnyRef type is converted to an OM Any type, and FIRRTL
AnyRef casts are converted to...

bec964d4767688022d5c2ae69dcf6b9f5731b0ff authored about 1 year ago by Mike Urbach <[email protected]>
[FIRRTL] Add AnyRef cast operation, and insert during parsing. (#6108)

In situations where an object is instantiated and passed to a sink
that expects any reference, ...

46d373bdd4951fb219abb11601d99f2e80a4b958 authored about 1 year ago by Mike Urbach <[email protected]>
[FIRRTLFolds] Ignore reset signals for constant registers in foldHiddenReset (#6113)

foldHiddenReset is a canonicalization that replaces registers driven by only
constants and regi...

d45c51274c6b4ff1f0b7f21908ee5aa7ee74c3ae authored about 1 year ago by Hideto Ueno <[email protected]>
Bump LLVM: 2023/09/12 (#6114)

* Updated broken reference to mlir/Interfaces/FunctionInterfaces.td

* Fixed remaining issues ...

ab842053ffd12fe0ae361587d0400a081578ea19 authored about 1 year ago by Adam Izraelevitz <[email protected]>
[OM] Add C and Python API to get a ClassType name.

Now that the Python bindings for ClassType can return a Python object
of the actual ClassType Py...

6f8269699677e1214e7d0a34fe02156284ae04ea authored about 1 year ago by Mike Urbach <[email protected]>
[OM] Add TypeID for ClassType so automatic downcasting works.

A relatively new feature of MLIR Python bindings allows returned
MlirTypes to automatically be d...

d510b5e879d445bf52353d1af577ed14ecfbcb2d authored about 1 year ago by Mike Urbach <[email protected]>
[FIRRTL] Optionally look through casts for fieldref chasing. (#6093)

Don't do this by default, as code reasonably expects the returned
FieldRef has expected type if...

774ffd1026021c0d520244ecac71e09c30a62d86 authored about 1 year ago by Will Dietz <[email protected]>
Remove arg and res names from module representation (#6095)

argNames and resNames are redundant with ModuleType. Drop.

7d625bf48c31ab4981c2a4ba4586e9242479f23a authored about 1 year ago by Andrew Lenharth <[email protected]>
[OM] Fix PythonValueToOMEvaluatorValue to handle Map

This is a follow-up to 668adc5f7a940bfe0f6fe1e88da2172d5bdc3079

a5e90ed53f7b0e6cf5b275e164e20d55c21892dd authored about 1 year ago by Hideto Ueno <[email protected]>
[FIRRTLFolds] Make sure single connection is in the same block (#6085)

FIRRTLFolds eliminates a single connection but it's only legal when the connection is in the sam...

8da788780952a6d6a7a1d45140df07db6cb30aae authored about 1 year ago by Hideto Ueno <[email protected]>
[OM] Evaluator: Support Map (#6045)

This PR add Evaluator support for Map values.
* EvaluatorValue now takes a MLIR context as a m...

668adc5f7a940bfe0f6fe1e88da2172d5bdc3079 authored about 1 year ago by Hideto Ueno <[email protected]>
[Pipeline] Remove outlined lowering (#6111)

Will be removing the outlined pipeline lowering. It's dumb to have to support two separate, but ...

490c62591f78d130333338cc5a7813a2fd930f41 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[CI] Add OS label

8714e7995632b0a39720bf3d63f0d6648c3b7d20 authored about 1 year ago by John Demme <[email protected]>
[MSVC] Fix Windows build

144d13e68e77baa29da24616582b36fcf84052e1 authored about 1 year ago by John Demme <[email protected]>
[NFC] Reducing dependence on msft.module in tests

7e846f7fc21000f0509e609bc911e0438aa547fb authored about 1 year ago by John Demme <[email protected]>
[ESI] Channel bundle type and pack/unpack ops (#6066)

A channel bundle (sometimes referred to as just "bundle") is a set of channels of associated sig...

f6ebce721c1af54230b7c5acc5a7749ed6ffdc77 authored about 1 year ago by John Demme <[email protected]>
[CI] Move some PR builds over to the faster agents

Should speed up the CI gate builds. May have to revert this if it
proves too expensive.

90836432fea721e7d46ccdc84fabefc6a9808edb authored about 1 year ago by John Demme <[email protected]>
[MSFT] Remove the partition pass (#6110)

This was never used and it adds a bunch of complexity and maintainence
burden. If we want to re...

a81db3dba8188e1719c9f31a64605c756aff60a8 authored about 1 year ago by John Demme <[email protected]>
[HW] Convert type storage for modules over to moduletype (#6071)

Down with FunctionType, long live module type. Next step is to remove the name arrays.

5ca6052d0764eed8d885780042764e2b5b194ec9 authored about 1 year ago by Andrew Lenharth <[email protected]>
[FIRRTL] Add AnyRef type, parser, emitter, and printed form. (#6104)

This is conceptually similar to Class type, but represents a reference
to any class. It is opaq...

05993dc40ea063031aaf284980f3bc635d77db3d authored about 1 year ago by Mike Urbach <[email protected]>
[OM] Add AnyType and AnyType cast. (#6105)

The AnyType is used to represent any valid OM type, without needing to
declare it. The AnyType ...

30c3ef054278281a3c8a1c6f88a8d60cd82ce290 authored about 1 year ago by Mike Urbach <[email protected]>
[FIRRTL][IMDCE] Wires for all (probes). (#6056)

Drop "imdce-nyi.mlir" test.

3db54b6089755c3b3e3eef8fbafbf85c62515585 authored about 1 year ago by Will Dietz <[email protected]>
[Ibis][NFC] Fix multiple use of SingleBlock trait.

Found via warnings like:

```
OpDefinition.h:1679:28: warning: direct base 'SingleBlock<BlockOp>...

ef5a39a078b3f46ec32b211845507a25153aa8f8 authored about 1 year ago by Will Dietz <[email protected]>
[NFC] Convert static method to static inline. Fix warning.

62eb69aa822ffb83c1b069b9a75cb62774748b84 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][LOA] Created Non-HW wires should always have droppable names. (#6101)

These will never appear in the output verilog, and may cause
the wires to be unnecessarily pres...

2a610a5ea5b35448fcca91568f8c895039496fac authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][IMCP] Propagate through nodes with annotations, but keep. (#6098)

This is the behavior used for wires, align.

GrandCentral makes use of many of these sorts of ...

369537290aa77c16e87e5f3c8696723424907440 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][IMCP] Names of wires of probes can be deleted. (#6097)

837fb70bb66dfe3f54acd7d9b55b39a7058a4665 authored about 1 year ago by Will Dietz <[email protected]>
[Pipeline] Use shared initializer list printer/parser function

55f3e4d5e5b0fc464680cdf3f8f756acf2286354 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Ibis] Add `--ibis-argify-blocks` pass (#6084)

The `ibis.block` operation defines a block wherein a group of operations are expected to be stat...

b1a5c1117f8d2ac1b18a6d8d19b91211f72147ac authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Ibis] Introduce `ibis.block` instead of `ibis.schedule` (#6082)

The `ibis.block` operation defines a block wherein a group of operations are expected to be stat...

df963abd97f7a68244c6a4f926d8234a7d5752a8 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[SFCCompat] Regard aggregate constant as constants (#6083)

Handle aggregate_costant op in SFCCompat.

30eb68d46e228216f3f3fec5500fdcf93cb2d8c2 authored about 1 year ago by Hideto Ueno <[email protected]>
[FIRRTL][IMDCE] Don't try to remove main module, don't warn if empty. (#6065)

3a241f73a022c4c81f59f6aaa6735d4c32a3181a authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Improve IMCP for non-hw; support mat'izing refs of constants. (#6091)

Fixes #6076.

Fixes leaving dead property wires around if they're constant-prop'd through.

...

e0d1804e37f39952be20592f02458b11c1ddd270 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Update LowerClasses to handle extmodule and instances. (#6063)

This adds lowering for extmodule similar to extclasses and module: an
external class declaratio...

5d4405230c7280aa9929668c7eb5aa47f4cba06f authored about 1 year ago by Mike Urbach <[email protected]>
[SV][HW] Stable port order in SVExtractTestCode

Change the BFS of operations used to compute backwards slices in
SVExtractTestCode to, instead, ...

1698632b81b197e18aca01b8873aac54f4f3f7c4 authored about 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL][LowerTypes] Support lowering of wire of ref. (#6048)

When cloning into new wires, preserve the ref part
(the lowering operates on the base type port...

bf3abcdea4b0c915fda658a27899324589021ba4 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][IMCP] Separate materialized constants. (#6090)

Insert all materialized constants above marker,
and handle them explicitly.

Don't rely on Co...

81879a97d90265a0835bc6e9b0ebc690a3fe3e27 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Replace unrealized conversion cast with an XMR op (#6088)

d9d501d25cfd118845e24b27190240c57e8aaa9f authored about 1 year ago by Nandor Licker <[email protected]>
[ci] Add om-linker to "firtool" install

Also install the OM linker as part of the minimal firtool installation.
This will be included in...

f5e208ce422aa21d58d29037b9ac451cc4101764 authored about 1 year ago by Schuyler Eldridge <[email protected]>
[NFC][LowerToHW] Move code to a helper method

4486d894eb920a4d44a782c635f52354fc1a2a98 authored about 1 year ago by Nandor Licker <[email protected]>
[Transforms] Move generally applicable passes to transforms (#6087)

SSA maximization and merge block insertion are generally applicable transformations and so shoul...

ac85f16f30a6788f1fb5dc0c5d008ff63cd7c37c authored about 1 year ago by Morten Borup Petersen <[email protected]>
[FIRRTL] Update LowerClasses to handle property wires. (#6067)

Property wires are added in some scenarios for convenience. This would
be a good canonicalizati...

96ab076f32da084aa66ce4a4ae948ca16e07e582 authored about 1 year ago by Mike Urbach <[email protected]>
[FIRRTL] Don't canonicalize out-of-bounds subaccess into subfield (#6079)

Fix #6078

b920e6420636ce1834d75eff6fb918f427757f65 authored about 1 year ago by Hideto Ueno <[email protected]>
[FIRRTL][LowerClasses] use early inc range to avoid iter invalidation (#6077)

63d7754fdca630d879daa8d2e3522024252bcc10 authored about 1 year ago by Andrew Young <[email protected]>
[OM] Delete top-level non-OM ops in LinkModules

Delete top-level operations that are discovered during LinkModules. This
enables the OM linker ...

36d125b4fce3fef493de1659b36ae56bbde8796e authored about 1 year ago by Robert Young <[email protected]>
[OM] Test whitespace cleanup, NFC

Signed-off-by: Schuyler Eldridge <[email protected]>

08308e568ca76209c79e208c33578a6d096f2ceb authored about 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] LowerClasses: support ObjectSubfieldOp (#6064)

54fd28147666704d5096c9f31e555139f154f0ae authored about 1 year ago by Robert Young <[email protected]>
[FIRRTL][Inliner] Use wires for properties, keep test.

Restore Property Inliner fix/support, but don't use for probes.

6920cf119cd9bc719760af0db5228da0807553be authored about 1 year ago by Will Dietz <[email protected]>
Revert "[FIRRTL][Inliner] Use wires for all. (#6052)"

Unbreak load-bearing functionality.

AFAIK this change is correct, but it doubles-down on the wi...

1f5d79c435297d64a1051d86180019761aa33de1 authored about 1 year ago by Will Dietz <[email protected]>
Fix friend struct/class Windows issue

Signed-off-by: Schuyler Eldridge <[email protected]>

df3bf14f588a478b532f827b84388097a9707b18 authored about 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Fix blackbox-directories.fir Windows, NFC

Signed-off-by: Schuyler Eldridge <[email protected]>

5bccfd8b3f5e04a632d0daf29ec8cc84502fd157 authored about 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Handle duplicate BlackBoxAnnos

Fix a bug in FIRRTL's BlackBoxReader pass that could manifest if multiple
blackbox inline or bla...

14cb06f228180d25cc7fd978ec36e19e1a291e2b authored about 1 year ago by Schuyler Eldridge <[email protected]>