Ecosyste.ms: OpenCollective

An open API service for software projects hosted on Open Collective.

github.com/llvm/circt

Circuit IR Compilers and Tools
https://github.com/llvm/circt

[MSFT] Add multicycle path op (#6262)

Adds a new operation to the MSFT dialect which specifies a multicycle path constraint in between...

c26204ac8484a4e4ebc7f71c24950175e9e3bea3 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[NFC] Add a comment on a test

b4a09b74769a8ac8a257508d9960ce6ae50a002f authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] Fix getReferencedModule and add test locking in correct symbol resolution behavior

fa69518fd78be1920388df019607dd8e78000f73 authored about 1 year ago by Andrew Lenharth <[email protected]>
Fix performance regression in verifiers by using the required symbol table collection to resolve the module name. The symbol table collection is required for this function explicitly for this lookup, it just wasn't being used (#6293)

475d4e9bc7ca246b10032ed8a4947a68901839f8 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] LLVM bump

786a31d679c5fcecad50845a29728f059d87de5c authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] preallocate vector in Instance getPorts

3734470f3783e2d952ae0f091d1ccb0fb5715837 authored about 1 year ago by Andrew Lenharth <[email protected]>
[ESI] Fix bug in lowering bundles

Mustn't insert things after the terminator!

b5e633f1dde82b57d2febdb41b7da649cfcd36f6 authored about 1 year ago by John Demme <[email protected]>
[ESI][Python] Use ODS enum in Python bindings

This is safe since it's just an enum from a header. Better since it
avoids duplication.

82504d0ffb19b84790094298a8e8f8380af81321 authored about 1 year ago by John Demme <[email protected]>
[HW] round trip ModuleType non-ssa values (#6287)

Treat blockargs like other values and let the asm name hint interface compute names for them. If...

12d168633c2a3c5558ddb290ea6a28aec4fdf40a authored about 1 year ago by Andrew Lenharth <[email protected]>
[FIRRTL] [FIRRTLFolds] Remove RecursiveMemoryEffects and RecursivelySpeculatable from When op, add canonicalizers (#6236)

RecursiveMemoryEffects and RecursivelySpeculatable traits provides automatic derivation of side-...

e83993015c8fcb23c2e9f7f0f9218547a53b0e61 authored about 1 year ago by Hideto Ueno <[email protected]>
[PyCDE] Fix test

seq.compreg asm syntax change

f9841b31e33d9d58e19601931616392c70189855 authored about 1 year ago by John Demme <[email protected]>
Add emission for calyx std_signext (#6285)

* add emission for Calyx std_signext

* fix tests

8765933dc7d6d0fa8ac1c1d7b23a2feadc34a52c authored about 1 year ago by Rachit Nigam <[email protected]>
[NFC][GranCentral] Allow probe outputs from companions

3c42434a3e0b4d266a38a955e7ad9378c69bc40f authored about 1 year ago by Nandor Licker <[email protected]>
[ExtractTestCode] Use non-empty unqiue port names (#6283)

Update ETC to add non-empty and unique port names.

---------

Co-authored-by: Nandor Licker...

cbe82b9674da81e424071dee10090038940605b2 authored about 1 year ago by Prithayan Barua <[email protected]>
[LowerToHW] Fix symbol creation for empty names (#6282)

firrtl.instance with lowerToBind is lowered into bound instance and symbols are created for the ...

c247ea94fe1850f2382224cf151e28556c2e2141 authored about 1 year ago by Hideto Ueno <[email protected]>
[FIRRTL] Add strip option to DropName (#6281)

This commit adds strip option to drop name pass. Even though there is none option but none optio...

74e9f45378f32edee38408f19f33d4973aeac0e5 authored about 1 year ago by Hideto Ueno <[email protected]>
[NFC] LLVM Bump (#6280)

b895069d550bc05b2a6a616ad0c9861350308234 authored about 1 year ago by Daniel Resnick <[email protected]>
[Namespace] Return an empty string for empty string. (#6284)

Previously Namespace::newName could return empty string for empty string.
This is a problematic...

080f275376ddb4d43a70db07854cc24153ccb965 authored about 1 year ago by Hideto Ueno <[email protected]>
[OM] Overhaul of path operations (#6253)

This PR does an overhaul of how path related operations work in the OM dialect.

When OM class...

8e4992be3510fbe7c96f1a95e896ecc9786ad489 authored about 1 year ago by Andrew Young <[email protected]>
[CI] Remove a backslash

This fixes a windows build failure

0518211c34b753dec21a9220d4b91d4a50117625 authored about 1 year ago by Hideto Ueno <[email protected]>
[CI] Install LLVM utils (#6279)

The default `install` command doesn't install LLVM utils Filecheck/not/count. Downstream users o...

6d37163e7c16c0138042b01278943ad003ba784f authored about 1 year ago by Hideto Ueno <[email protected]>
[circt-opt] Export symbols for MLIR plugins (#6278)

This commit adds pupport for MLIR plugins for circt-opt

694f03773c06ab5fc9e26473f0f8705bd8baa950 authored about 1 year ago by Hideto Ueno <[email protected]>
[NFC][firtool] Fix CAPI

dadf87b742f547840f6866beb50bdf46a76d3fed authored about 1 year ago by Nandor Licker <[email protected]>
[FIRRTL][GrandCentral] Add a mode to drop companion modules (#6268)

Instead of the `--instantiate-companion-only` flag, Grand Central now takes a `--companion-mode`...

4d87c19d4a285193fd357b0fe63678b7ba4de2f7 authored about 1 year ago by Nandor Licker <[email protected]>
[OM] Add location info to EvaluatorValue (#6240)

Add the debug locations to the evaluator value, which will be used to generate info fields
of ...

cbc8c39613347840e8232c69eea10d5b96f42091 authored about 1 year ago by Prithayan Barua <[email protected]>
[Seq] Fix the canonicalization of seq registers with a clock type (#6274)

225e26e439be46da33559dffd65705e37178b085 authored about 1 year ago by Nandor Licker <[email protected]>
[PipelineToHW] Add optional power-on values to control registers (#6269)

Resulting generated .sv:
```mlir
hw.module @testPowerOn(in %arg0: i32, in %arg1: i32, in %go: ...

0d7cd67f5705d9975ada75f8bcaa7877d776c29c authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Seq] Remove custom printer/parser for `seq.compreg(.ce)` ops (#6267)

Removed in favor of using a generated parser + a couple of custom directives to resolve the opti...

c9aa79bd271cded10fd4fd5c36145739c04c40bc authored about 1 year ago by Morten Borup Petersen <[email protected]>
[firtool] Add infra for pass plugins (#6254)

This PR ads MLIR pass plugin functionality to firtool pipeline to dynamically load pass plugins ...

2f4af25eac4e8e1471032bd42d4b6e9128513c3d authored about 1 year ago by Hideto Ueno <[email protected]>
[Seq][NFC] Remove Twine constructor

aefe8280c3050de890da30a03bd0e65beb6e948b authored about 1 year ago by Nandor Licker <[email protected]>
[Seq][NFC] Add a builder for seq.compreg using Twine

a124061765169f02ebcd9963ac2469ca1174d7d4 authored about 1 year ago by Nandor Licker <[email protected]>
[Seq][NFC] More flexible builders for compregs (#6270)

4607cb868a5cfcfe3bdf578336b48747625e38e2 authored about 1 year ago by Nandor Licker <[email protected]>
[Seq] Add optional power-on value to `compreg` ops (#6255)

In doing so, also opt to move to a keyword style assembly format, rather than a flat list of ope...

2ec4cd09218b89b3ef35d9e3acfb0519e34da068 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[NFC] Don't compute portlist every name lookup

a5de7a2bf50f74fd5a1169eec26f24c6d09c5059 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] don't recompute port list every name query

b5a9b9d4d453860061ee4af53211cb73acf2f80e authored about 1 year ago by Andrew Lenharth <[email protected]>
[FIRRTL][NFC] Move FieldRef -> InnerSymTarget to utility. (#6265)

Keep FIRRTL-only for now due to dependence on dialect-specific
mechanism to get port number fro...

15cc6fe9e1fcd640a658d9dc420c33187343adee authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][MergeConnections] Reject non-passive aggregates. (#6264)

Previously: #5780.

Fixes #6259.

(along with #5835 for the specific FIRRTL input)

aeb6f0fe59cdbf9f906597fd6aa061f4fed83b77 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][FIRParser] Prefer RWProbe op as much as possible. (#5835)

Keep forceable for now-- it's needed for uninferred resets presently,
and has slightly better (...

7165cd92ff1e0f9abb9ec82d12857fc2c72a9a32 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Add OpenAgg support to getFieldName, fix diagnostic.

These disappear early but some diagnostics, such as flow checking,
use this method so implement ...

8fcb732efa718e1c2522812882815cef549c2cce authored about 1 year ago by Will Dietz <[email protected]>
[SV] Mark sv.xmr.ref op as pure (#6260)

Having an `sv.xmr.ref` op inside a procedural block such as
`sv.alwayscomb` triggers an asserti...

71a78d61282291ae14c979d37b2d8f7224e269c9 authored about 1 year ago by Fabian Schuiki <[email protected]>
[OM] Evaluator: Support graph regions (#6249)

This commit adds support for graph regions for evaluator.

`ReferenceValue`, a new subclass of...

5508c1e42aee591e71b437c893118bf8a916b6bf authored about 1 year ago by Hideto Ueno <[email protected]>
[OM] Add more path types (#6250)

This adds more path types which will be used for greater safety in OMIR. This
change splits reg...

307fb5874bfa7acd047f42a075c46c2ed3835599 authored about 1 year ago by Andrew Young <[email protected]>
[Debug] Fix HGLDD test on Windows.

885499f4247c59d841167a885764814a97ec8bc0 authored about 1 year ago by Will Dietz <[email protected]>
[PyCDE] Fixing tests (#6252)

Mostly broken due to hw.module asm format change. Also, the cocotb tests
have been broken for s...

d85dcf02b80cc5b9260793b0ec752ce464d82c77 authored about 1 year ago by John Demme <[email protected]>
[Debug] Fix windows path separator in HGLDD test

39bd9f535fef45303cc08b15fabbb4b16d112e9c authored about 1 year ago by Fabian Schuiki <[email protected]>
[Debug] Add basic DebugInfo analysis and emission (#6148)

CIRCT will eventually want to be able to emit debugging information
alongside its Verilog and o...

5cb43e185dbb54750a07c91d2dd7079734ed48fb authored about 1 year ago by Fabian Schuiki <[email protected]>
[NFC] Good by HWTestModule. You were a good demonstration, but now the real modules can do all the things

0eaf230847dfcef51477cf136598284e5dea4411 authored about 1 year ago by Andrew Lenharth <[email protected]>
[OM] Update FreezePaths to root paths at the nearest public module. (#6244)

The current logic doesn't support freezing paths with multiple public
modules. In the current s...

0ea677dc1520cea4e22483aaf9b9469f6893ce67 authored about 1 year ago by Mike Urbach <[email protected]>
[Arc] Lower models into eval functions (#6247)

Lower `arc.model` operations to an eval function similar to what
Verilator creates. Instead of ...

398d4ec9ce1b3cb9ade8156f2c385e7abee9af22 authored about 1 year ago by Fabian Schuiki <[email protected]>
[PipelineToHW] Allow empty pipeline names

486f578710705ffdff123fa9944528a405d50192 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Ibis] Add scheduling prototype (#6239)

1. During prepare scheduling, we also assign `ssp.operator_type` attributes to the operations. T...

38fb755f99ae6466ac30e79ff3f3913bb4b46468 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[FIRRTLFolds] Remove InvalidValue canonicalization (#6080)

Remove canonicalizations of RegOp related to invalid values since
interpretation is context-dep...

3c99aa27abefb3368c7a466905e174e054f0d220 authored about 1 year ago by Hideto Ueno <[email protected]>
[FIRRTL] Add Mux canonicalizations for conditions on equals operators (#6246)

The following mux canonicalizations have been added:
- mux(eq(a, b), a, b) -> b
- mux(neq(a, b...

4b6de5184146fbf18a6b280100efbd3cded54578 authored about 1 year ago by Daniel Resnick <[email protected]>
[ESI][Python] Expose BundleType to Python (#6248)

77dfbd01c59067eb44b023c145fceb53165deb0f authored about 1 year ago by John Demme <[email protected]>
[Arc] Add LowerArcsToFuncs pass (#6227)

Extract the Arc-to-Func lowering from the LLVM lowering pass and make it
a separate step in the...

1959f538a3fafb7a69954990b6c5e13befda7a5a authored about 1 year ago by Zachary Yedidia <[email protected]>
[FIRRTL] Update LowerClasses to use the defname for ExtModules. (#6243)

While lowering an ExtModule, if it has a defname set, use that for the
lowered ExtClass name. T...

9b208c5708f535810149b5338a12864ad5a147cd authored about 1 year ago by Mike Urbach <[email protected]>
[NFC] remove dead code

ce19dc158cac25167cb4efc265b0f85f68edb291 authored about 1 year ago by Andrew Lenharth <[email protected]>
[OM] Load post-export dialects in om-linker. (#6242)

We expect to run this tool on post-export IR, so load the appropriate dialects in the context.

b83396ef8b8e6b0f834ab68b656621133c25f5e4 authored about 1 year ago by Mike Urbach <[email protected]>
[Integration tests] Partially revert last commit

Looks like this test really does require Questa.

17ada21d6039a0668de35c3a01c50df8df996449 authored about 1 year ago by John Demme <[email protected]>
[Integration tests] Update broken test to new module asm syntax

Wasn't getting caught by Github CI since this required questa. Changed
to any IEEE simulator and...

e016843e4c86d670e8d0f53262ec347ab6b51a0a authored about 1 year ago by John Demme <[email protected]>
[NFC] Fold symbol handling into attribute handling in ModulePortInfo

8722a98a7b0464d2c95498c6e4a68e7e98e0e70d authored about 1 year ago by Andrew Lenharth <[email protected]>
[Ibis] Added missing ibistool to integration test (#6241)

`ibistool` was missing in the tool list in the integration test making it crash, so I simply add...

8657a083ff1e5092b4fba16f28e4aa6d19e155e8 authored about 1 year ago by Amelia <[email protected]>
[NFC] Simplify getPortList. Route ModulePortInfo explicitly.

df2c4357ba215fab04bdeb00b00246e95399497b authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] LLVM bump

f14cbe3b2507cf829487a891b3d75c979d8fc283 authored about 1 year ago by Andrew Lenharth <[email protected]>
[FIRRTL][ExpandWhens] Support flow checking for local and remote objects (#6212)

8f66727f338dd10f9e4208ef2358d519d4a861cb authored about 1 year ago by Robert Young <[email protected]>
[Pipeline] Add missing dialect dependency for `pipeline-schedule-linear`

This pass constructs a `pipeline.scheduled` which uses `seq.clock_type`..

c826f6680e6142fb783a7cba55dc2d2bc4f27bbf authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Ibis] Add missing dialect dependency on "seq"

Needed by the `ibis.pipeline_header` parser

820d71b17c3ac8e817f136ab37714eb5f3548228 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Pipeline] Move ops before terminator during scheduling

b55e1b88c13676cdef9c610cec183d73db18d06f authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Pipeline] Fetch operator lib from top-level `builtin.module`

f5aa057e98c49fecaab79d23e94a1ef7c6d0f48a authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Transforms] Allow running `map-arith-to-comb` on IsolatedFromAbove boundaries

6413902754014a6f4280d2a35b81ac0f9b2853fd authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Transforms] Also convert constants in `map-arith-to-comb`

c368ec009840a1acb50f3b94b41888459a8d24bb authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Firtool] Rerun IMCP after register optimizations (#6179)

This PR adds extra IMCP just after register optimization pass.
We separated register optimizati...

35ffd3503960a9a76183969cebb87d51799432b9 authored about 1 year ago by Hideto Ueno <[email protected]>
[HW] Fix dead code and returned value warnings

ef55b6ca3a40a209572c689da8dbb55d2ae09d24 authored about 1 year ago by Hideto Ueno <[email protected]>
[Ibis] Also copy in/output attributes in `containers-to-hw`

02764b289b248c50b9844c19cda82ae931de8f34 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[HW] Don't throw away port attributes in HWModule builder

Previously, any `PortInfo` attribute dictionary provided in `ModulePortInfo` would be disregarde...

0390281a274b775366a7d0911cdf67327f0f273b authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Ibis] Add methods-to-containers pass (#6232)

Dataflow methods can be converted to containers - which allows us to leverage all of the existin...

070feebe7a9f1efcdb3849c0d09a02be232aa5da authored about 1 year ago by Morten Borup Petersen <[email protected]>
[ESI] Lower bundles to channels (#6216)

Lower away bundle types into channels. Doesn't support reset signals since the [un]pack ops don'...

3eecf95e011e153fb814dde13390b4530b944076 authored about 1 year ago by John Demme <[email protected]>
[FirRegLowering] Implement refined check for mux-to-if conversion. (#6203)

This consults the register's fanout, and only pulls muxes into if/else
structure if they are on...

1705306f41dea8243d72c262e5c30c87c458caf8 authored about 1 year ago by Mike Urbach <[email protected]>
[FIRRTL] Initialize std::atomic flag in ProbeDCE.

The default-initialized std::atomic doesn't actually contain a valid
bool, it contains a value s...

aa966296cad0851785cb578833425f330b74961e authored about 1 year ago by Mike Urbach <[email protected]>
[ExportVerilog] Bound type size considered for decl alignment (#6171)

We currently blindly align the types and names of declarations, which
causes excessively large ...

8fa33c15be0c46a3457c932b726d330305c0fbc6 authored about 1 year ago by Fabian Schuiki <[email protected]>
[FIRRTL] Input probe support. (#6121)

Add and enable support for input probes.

Per spec, all uses (XMR read/force/release) of a pro...

391db0c95dfb785c373719f787ebdca13830eea6 authored about 1 year ago by Will Dietz <[email protected]>
[NFC] tighter test

5b8929c52aee62bac6010251703038d48ef829ef authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] test whitespace

ca04cbe5b3a6196e57040e98293240c31dd4ac2d authored about 1 year ago by Andrew Lenharth <[email protected]>
[FIRRTL] Preserve port orders when lowering to HW. (#6224)

Move lower to hw to preserve port order instead of doing inputs then outputs.

933d24864e70056119886a039e3d5f8a7ebc871f authored about 1 year ago by Andrew Lenharth <[email protected]>
[Ibis] Add handshake to DC conversion (#6231)

bb673d4ea0a4562b742332af2c83f63a2ee89e41 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[OM] Implement PathAttr CAPI and Python binding (#6229)

This commit implements PathAttr CAPI and Python bindings.

e05d172607543af9b44cc23b7f6c7fc0a132ce9d authored about 1 year ago by Hideto Ueno <[email protected]>
[HandshakeToDC] Turn conversion into library-style

Factors out the conversion s.t. it can be run on arbitrary operations. To facilitate this, the c...

c02d4572215bc3bc0352554c09166f4a96ee5904 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[DCToHW] Fix source and sink lowering

cefec094c0ca68b52b59af1d8c604974ecf56809 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[DC] Also fork `dc.value` in materialization

21e020cdfdc888c4b32e3230743a26df03072579 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[DC] Support unused `dc.value` args in DC materialization

ff95225455ac84a38fb2e7d98a4c417e60198554 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[DC] Run DCToHW on `IsolatedFromAbove` boundaries

214e6faa73e3545c984407af69dc7da922f1f9d9 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Ibis] Add ibis.sblock.isolated (#6230)

Post-argification it makes a lot of sense to have an IsolatedFromAbove block:
1. for pass sched...

413ae786bf07db0e4821efea77f308096fe6ef27 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Firtool][CAPI] Add options setters and getters

b636edaef1aac42a40461f42a94d9a131822a6b6 authored about 1 year ago by Sprite <[email protected]>
[Firtool][CAPI] Add C-API for Firtool lib

a01f3db3cc366ed48eb77427e4a1ada9f0dbd61e authored about 1 year ago by Sprite <[email protected]>
[HW] Disallow duplicate field names in HW aggregate types (#6225)

Prevent the creation of HW struct/union types containing multiple fields of the same name to avo...

fac210553ba49ee82d487dbcc9a7f83c906dec6f authored about 1 year ago by fzi-hielscher <[email protected]>
[HW] Fix HWModuleType parsing/printing when they have an empty port name.

Closes #6223

ceb7f94222db904e720f763f77638e60b45e0031 authored about 1 year ago by Andrew Lenharth <[email protected]>
[Calyx] Add calyx.undef (#5964)

* add calyx.undef

* rename op to `undefined` since `undef` doesnt work

* Move simple defin...

2df46afd578c72f576413d22b5e41d1e2fec2e21 authored about 1 year ago by Rachit Nigam <[email protected]>
[Ibis] Improve error message in containers-to-hw

...which still won't get shown given an upstream bug.

49c39a90f0eee0c5839950b0da9100541384b356 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[FIRRTL][Parser] Update groups version check to 3.2.0. (#6219)

They were added in 3.2.0.

0b4ba1c9260036319bbc74195e9628417916f383 authored about 1 year ago by Will Dietz <[email protected]>
[FSM] Disable FSM variable test #4745

86f28c0bafea23fe26e5559a13070775c1db9831 authored about 1 year ago by Morten Borup Petersen <[email protected]>