Ecosyste.ms: OpenCollective

An open API service for software projects hosted on Open Collective.

github.com/llvm/circt

Circuit IR Compilers and Tools
https://github.com/llvm/circt

[LLVM] Bump for CVE-2022-24439 (#4621)

c17842cf6db5c2091822d9956d1590a323b660fc authored over 1 year ago by John Demme <[email protected]>
[PyCDE] Fix test broken by recent ExportVerilog change

Minor change broke what was a brittle test. Broken by #4540.

4d187959726934888751fc148314453c90f3dff2 authored over 1 year ago by John Demme <[email protected]>
[PyCDE] Standardize test file names to begin with 'test_'

9cf699e10e65405a924592b58202fc7fb51bb559 authored over 1 year ago by John Demme <[email protected]>
[SV] Change ReadMemOp to use SSA Value

Change the existing ReadMemOp to use an SSA Value for its destination
memory as opposed to a sym...

a29c037ca8ab96494e4b4f3ca68431305a6c66e6 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[ExportVerilog] Test Whitespace Cleanup, NFC

Signed-off-by: Schuyler Eldridge <[email protected]>

00257f3db8a5af7c31113ef568032b6961e0571a authored over 1 year ago by Schuyler Eldridge <[email protected]>
[ExportVerilog] Fix ExportVerilog Test, NFC

Fix a test that was erroneously failing for certain port source
locators.

Signed-off-by: Schuyl...

5a3bb492aa830903b2f5f92e4bf46f623ee16a9e authored over 1 year ago by Schuyler Eldridge <[email protected]>
Migrate to the new folding api (#4619)

* Migrate to the new folding api

In the old folding API, folders would get an array of attrib...

c62e6237052ab6cec25123697e2e68462b258f85 authored over 1 year ago by Robert Young <[email protected]>
Add port location information to verilog output (#4540)

The goal of this PR is to parse in FIRRTL debug source locations for module ports, and maintain ...

92011de1c094ec0bd4618fec0b33786cf22696da authored over 1 year ago by Andrew Young <[email protected]>
[LowerToHW] Lower aggregate constant (#4608)

This PR reimplements #4451 revered by #4465. The bug was that `getOrCreateAggregateConstantAttri...

945c2a83a93944efd3b5b92a14b17040be53e514 authored over 1 year ago by Hideto Ueno <[email protected]>
[PyCDE][NFC] Create signals from Python objects through Types (#4611)

Instead of calling `_obj_to_value` from the support library, use some
OOP to leverage the `Type...

acc95b28d6f61c2541db8a2e9ef78428bfcf17b8 authored over 1 year ago by John Demme <[email protected]>
[PyCDE] Improve type string representations (#4616)

1e8f4faff69902c5eb0296f6110280fbaccc547e authored over 1 year ago by John Demme <[email protected]>
[AffineToPipeline] Fix side-effect within assertion.

This moves the side-effecting call (`insertDependence`) out of an
assert. It still asserts the c...

b7980601302ccb519b9f62e850e4813928a819bf authored over 1 year ago by Mike Urbach <[email protected]>
Bump LLVM to 95e49f5a74c9e79778a62cc58b15875613cf9e59. (#4609)

Co-authored-by: Ram Sunder <[email protected]>

a249d6e1df57eadb985811da4f35016c6fe2520d authored over 1 year ago by Mike Urbach <[email protected]>
[MSFT] Fix handling of inout block arguments

This fixes a problem where we do not respect the `isInOut` flag of the
PortInfo when adding bloc...

da062b122522fae5e732cf87aaf4b616e9d4b26a authored over 1 year ago by Andrew Young <[email protected]>
[HW] Fix creating modules with InOut typed ports

When we create the PortInfo object for a module, if the port is a
HW::InOutType, we strip it and...

321948dc3dac49a193c31a9db1b8c18d7021f391 authored over 1 year ago by Andrew Young <[email protected]>
[FIRRTL][GC] Always generate the scope yaml file (#4612)

When we added the flag to directly instantiate companions, we also
stopped generating interface...

2417e7f40315d43e91c249c88a4efc81a6d0b2a7 authored over 1 year ago by Andrew Young <[email protected]>
[PyCDE][NFC] Cleanups and renames (#4610)

Improve bad code:
- Cleanup imports.
- Complete the `Value` to `Signal` rename.
- Clarify arg...

5891f8720e5dd13182428690ea1573819e4503e8 authored over 1 year ago by John Demme <[email protected]>
[PyCDE] Class based struct definitions (#4607)

Allows users to define structs as Python classes and use instances of that class in generators:
...

f80e0f6e67bd915ff5f1ddb90905a20f609a9ef1 authored over 1 year ago by John Demme <[email protected]>
[Pipeline] Plumb values through the pipeline + Support multi-cycle Ops (#4414)

* explicit plumbing of values through the pipeline given gap between arrival time and use time

17f758c76ac3bc96650b577ce9e5f8a3bbbace2c authored over 1 year ago by Matt H <[email protected]>
[PyCDE] Add constant integer comparisons and arithmetic

Add support for arithmetic and comparisons of IntSignals and python
integers by converting the i...

a5f6aa51fa04cb4a539bd45f995fc888c85b7548 authored over 1 year ago by John Demme <[email protected]>
[PyCDE] Type system refactoring (#4604)

- Hide the CIRCT type behind `Type` -- most of the changes stem from this change.
- Uniquify Py...

a83f6d914dace7e96df92a7df751af46fe0fd99e authored over 1 year ago by John Demme <[email protected]>
[CalyxToFSM] Add FSM-flow remove groups pass (#4600)

This commit adds a version of the Calyx remove-groups pass tailored for
the FSM flow. Specifica...

e80520b7c90505f162c802cb37d83bcfbb758db8 authored over 1 year ago by Morten Borup Petersen <[email protected]>
[HW] Add support for struct_explodeOp in HWToLLVM (#4519)

47c0eade67520bf57a166b085df2cae65104391d authored over 1 year ago by cepheus <[email protected]>
Add TAGS to gitignore (#4602)

9d65bf8f03ceb91b6f42877d4ba6224d2a12d733 authored over 1 year ago by Aditya Naik <[email protected]>
[ExportVerilog] Don't regard input ports as assignment patterns (#4603)

This fixes a bug in `isExpressionEmittedInline` introduced by 1c38d707a3cc4e84631f7218a4a62e4501...

789be109ec0234a247b720e78e89b5fb76491b8e authored over 1 year ago by Hideto Ueno <[email protected]>
[NFC] Move from llvm::makeArrayRef to ArrayRef deduction guides (#4601)

6e6b4d659c637df0d0fbbdf3ccf154e936839cec authored over 1 year ago by Bruno Schmitt <[email protected]>
[Calyx] Add SCF -> Calyx -> FSM integration test (#4598)

+ fix a stray printout.

The intention with this step is to
1. have a place to point people t...

aabaffa0fe1575dbe642e77bd7f4fb5fc7900313 authored over 1 year ago by Morten Borup Petersen <[email protected]>
[Calyx] Add 'remove comb groups' pass (#4522)

Comitting an old piece of code I had lying around. The pass is modelled after the native calyx c...

6a4f8722c05d52d3927da65173b3c64d7531e676 authored over 1 year ago by Morten Borup Petersen <[email protected]>
[PyCDE] Fix tests broken by recent llvm bump

6e4587b7086c88f75d634db2a458c14914a1b231 authored over 1 year ago by John Demme <[email protected]>
[FIRRTL] Fix error unresolved annotation message

Signed-off-by: Schuyler Eldridge <[email protected]>

7fe32f0895c1fa2715942a07d9e3b3641530609a authored over 1 year ago by Schuyler Eldridge <[email protected]>
[firtool] -lower-annotations-no-ref-type-ports

Add "-lower-annotations-no-ref-type-ports" option to firtool. This is
an option that will cause...

c210f1a2d5a941a0a024dcac6c9f4f1b9c085219 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] LowerAnnotations noRefTypePorts option

Add a new pass option to the LowerAnnotations pass that will cause ref
type ports created by Wir...

16077e1fcddbe8395cbc3b9c8d598cb3aa4a9dd8 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[firtool] Add -grand-central-instantiate-companion

Add an option that will cause Grand Central companion modules to not be
bound in (they are direc...

c9f77232694852ddee7287452bb20ad86ed86f55 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Add GrandCentral no-bind option

Add an option to the GrandCentral pass that will _not_ bind in the
companion module and will _no...

acb8ba5c613c3853504fc5ff420901a21200806b authored over 1 year ago by Schuyler Eldridge <[email protected]>
[NFC] spelling

8784c8c3770a9792bc3eef74dc2cc8656679ea15 authored over 1 year ago by Andrew Lenharth <[email protected]>
[Support] Fix macOS build, missing import

Signed-off-by: Schuyler Eldridge <[email protected]>

a31f0524e68074b517b2c7b682bfd3272e006498 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Fix GrandCentral Exit on Bool/Int/etc.

Fix a bug in the GrandCentral pass where weird types (IntegerType,
BooleanType, etc.) would, if ...

194786a3da6bfe8a2f446fc6e93d08f5b19ab627 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Prefix for Seq Mem Group Extract

Fix a bug in the composition of the PrefixModules and ExtractInstances
passes. Because the latt...

092cb8162f03672e9af05942c02a1da8925ebb24 authored over 1 year ago by Schuyler Eldridge <[email protected]>
Bump LLVM submodule (#4568)

* Bump LLVM submodule

Minimal progress, but worth sharing.

* compiling now

* clang-form...

5bffaaaa9321b0efc7b2048d0933c6f9090f86bf authored over 1 year ago by John Demme <[email protected]>
[CI] Bump cmake version (new image) and switch to ninja (#4597)

f299107c6ea2041cdc1611f2e6ed28ce6bcd0df0 authored over 1 year ago by John Demme <[email protected]>
[FIRRTL] Indentation cleanup in test, NFC

Convert some inconsistent indentation in one FIRRTL test.

Signed-off-by: Schuyler Eldridge <sch...

a130468c88d435d2fb1989fbe0b0ecb74d98a8c1 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[systemC] Make modules private in lit test. NFC (#4595)

Add private visibility to `systemc.cpp.func` in a few lit tests, which is
required for the up...

ee128c8cacd7c09522217b90e9f15a5ab417adc0 authored over 1 year ago by Prithayan Barua <[email protected]>
[circt-reduce] Explicitly nest the passes (#4594)

Nest the passes explicitly when adding to PassManager.
Required for the latest LLVM Bump, #4563...

e5271b1c2cc5c9f10a6bd21c730fccc4e6e69b5b authored over 1 year ago by Prithayan Barua <[email protected]>
[Calyx] Add notes about the use of `updateRootInPlace`, NFC.

Besides allowing some direct IR mutations, this also has proven to be
a non-obvious interaction ...

414ac99253b790ece5a179fb556f4d992dafdd38 authored over 1 year ago by Mike Urbach <[email protected]>
[PyCDE] Improvements to support internal design (#4591)

- Set a number of outputs from a generator via dicts.
- Get the outputs from an instance via a ...

5cb38565c8fc232601e5231b9a1fb642754cc414 authored over 1 year ago by John Demme <[email protected]>
[FIRRTL] No final inst in ExtractInstances output

Change the ExtractInstances pass to no longer include the final
extracted instance in the output...

8e7cfbef3a208411201c16554def80e83a2bb34c authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Always create ExtractSeqMems extract file

Change the ExtractInstances pass to always create an ExtractSeqMems file
if the appropriate anno...

048fcc65eec941df8bd91a3c5f8654f4eeb75730 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[ESI][Capnp] Build against libcapnp on Windows (#4585)

48bac7a58f0c85e94073791dadc92ad72d0ccd33 authored over 1 year ago by John Demme <[email protected]>
[LowerTypes] Fix a race condition (#4582)

This PR fixes a race condition in LowerTypes with aggregate preservation mode. In the instance o...

cd0cae2fd1b0c765b90bc51f87025bb11196c6c4 authored over 1 year ago by Hideto Ueno <[email protected]>
[ExportVerilog] Inline expressions into bound instances (#4574)

Previously expressions feed into bound instances are anchored by wires
so that we can remotely g...

1c38d707a3cc4e84631f7218a4a62e450143f0d8 authored over 1 year ago by Hideto Ueno <[email protected]>
[ExportVerilog] Move local name legalization to pre-pass (#4573)

This PR removes ModuleNameManager from ExportVerilog. Previously it was necessary for ExportVeri...

9dd59bc79103a06021cb765d8ff68454ccd43004 authored over 1 year ago by Hideto Ueno <[email protected]>
[CI] Bump integration test images. (#4571)

ded4f0e9619a16c4e098cf5bb84d68115c79f26b authored over 1 year ago by Julian Oppermann <[email protected]>
[FIRRTL] Fix InferResets not Exiting on Failure

Fix the InferResets pass to exit if any errors are discovered when
processing annotations. Prev...

d998560a79dd168d5b541fc6184168acba0d2ede authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Fix overriding port locs w/ Module loc

Fix deficiency in the FIRRTL parser where the module location was always
overriding the port loc...

c02fd47a06dfa9da86f2afaea30b10a204256644 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[firtool] Add --include-dir option, -I as alias. (#4576)

Support long-form version of option for readability.

Include usage in description to workarou...

ddbb6c3a86e87fffe0577101018cb6d25e705099 authored over 1 year ago by Will Dietz <[email protected]>
[Scheduling] Purge test passes. (#4572)

Use the SSP dialect and `-ssp-schedule` instead.

2d0430eac4f8c7dc53a2b42d98e8f41b07995b8b authored over 1 year ago by Julian Oppermann <[email protected]>
[NFC] Document verilog baseline assumed for consuming tools (#4575)

Establish a nominal baseline for verilog generation.

cffd1628d6fea9a3f5bb99d096ab58e186ea4e96 authored over 1 year ago by Andrew Lenharth <[email protected]>
[Docs] Explain SSP-based testing of the scheduling infra, NFC.

70f9eb1ff74faf14e270a005b04caba4bf471fe3 authored over 1 year ago by Julian Oppermann <[email protected]>
Support OR-Tools-based schedulers. (#4524)

02cdf7a1124e594ba4aa907fe1a54842b1eb550c authored over 1 year ago by Julian Oppermann <[email protected]>
[Scheduling] Rewrite tests in SSP IR (#4523)

ab9f5dfeb60f9a9a8939d3f12a5bf955c911d0da authored over 1 year ago by Julian Oppermann <[email protected]>
[SSP] Support OR-Tools-based schedulers. (#4525)

27bd0102bc47510d26ecb60ebb5c262bca822464 authored over 1 year ago by Julian Oppermann <[email protected]>
[FIRRTL] Add ExtractBlackBoxes to LowerAnnos

Add a missing, but supported, annotation ExtractBlackBoxAnnotation to
the annotation records str...

82238a5ae13af3b202786014e5a039b2ad605a34 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[Moore] Fix Visual Studio 2022 compilation error

Fixes #4569. For some reason VS2022 doesn't like the shorthand that was
being used here. This fi...

ea4acd3f9974fd74cc368fe49ffa4748eeb38071 authored over 1 year ago by John Demme <[email protected]>
[FIRRTL] Move enum attributes to dedicated td file (#4562)

When enum attributes are generated, there's no option to restrict generation to
a particular di...

cc8e4c0d3f0ea8f610bbc6cbe8ed999d8e48fae6 authored over 1 year ago by Robert Young <[email protected]>
[PyCDE] Change module API to extend `Module` instead of using a decorator (#4556)

Refactor PyCDE module creation to a subclass based scheme. This is a breaking API change, but a ...

1e680d19a39b2dca5d085eea6a7b2ac342bb89e9 authored over 1 year ago by John Demme <[email protected]>
[FIRRTL] Move SIntType and UIntType to ODS (#4529)

- Make WidthQualifiedTrait a type trait, renaming it to
WidthQualifiedTypeTrait.
- Rather th...

b6d8508ba104b3120965dc031a3edfd538fb4602 authored over 1 year ago by Robert Young <[email protected]>
[CMake] Fix option `CIRCT_LLHD_SIM_ENABLED`

734f6262d8bdbb8d297d7e2e1be20d609b1564b9 authored over 1 year ago by Sprite <[email protected]>
[FIRRTL] Change FIRRTL fieldID fns to use uint64_t

Convert all fieldID-related functions which are not already in the
FieldIDTypeInterface to use u...

6831828c97264dbe45b0421d7d70ed41fa36a0df authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Mark DUT AddSeqMemPorts ports dontTouch

Change the AddSeqMemPorts pass to mark ports created on the DUT (or the
top module) with DontTou...

80f87ad0a496d4e4a83adbd92ad38c58cd17b234 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[GC] Fail pass if emit errors about companion instantiation. (#4552)

Add test for error messages (which passes without this change).

db491b92e7a35291aacecb44426940606fc3f28d authored over 1 year ago by Will Dietz <[email protected]>
[PyCDE] Uniquify NamedWire symbol

Follow up to e1bd9e1.

2c6068496475fc087b77f0718cfad70a472af5e1 authored almost 2 years ago by John Demme <[email protected]>
[PyCDE] Value -> Signal (#4550)

Renaming the very generic `Value` class name to `Signal`. It's a bit of a stretch for things lik...

2793e4afb3a78e71e2228536475d09c6d8cd85ac authored almost 2 years ago by John Demme <[email protected]>
[StandardToHandshake] Replace liveness analysis with SSA maximization (#4520)

* SSA Maximization pass and utilities

This commit replaces liveness analysis within the Stand...

dd909164d1cbe91afb7815d587447624ff5707c5 authored almost 2 years ago by Lucas Ramirez <[email protected]>
[PyCDE] Give the pass being run at the top of debug dumps

Helpful to repro and debug using circt-opt.

6ca18f268cea93102b5ffa7176a50f90ea679e47 authored almost 2 years ago by John Demme <[email protected]>
[PyCDE][NFC] Hide the `circt` package in our namespace (#4545)

Teaches PyCDE how to play nice in the python global namespace. CIRCT is now "hidden" as `pycde.c...

22689cbcc8ddcbd9d7a9cef915083c86c357e0d6 authored almost 2 years ago by John Demme <[email protected]>
[HW] ArraySliceOp canonicalizer: don't assert on zero length slices

Zero-length slices are perfectly valid, so don't assert on them.

fa149c6c3cf91e0801d31413819c3ddd54f1fd4e authored almost 2 years ago by John Demme <[email protected]>
[Python] Use the "private namespace" approach for mlir dep (#4546)

Closes #3475. Also switch to relative imports in the hope that other projects (ie PyCDE) can inc...

04e91881a5073ce51f598f06877fcfadab7324a0 authored almost 2 years ago by John Demme <[email protected]>
[FIRRTL] Move BundleType to ODS (#4535)

Also, change the order of arguments for Bundle::get, so that context is first,
as generated by ...

173d51e05e51a35e2c7dd4d8d623280396e71ee8 authored almost 2 years ago by Robert Young <[email protected]>
[PyCDE] Add `ControlReg` construct (#4536)

A 'control register' is a single bit register which gets set and reset
based on pulses. Asserts...

d9239a7eb68e7a5b0152ea175616cc6ef70ed6be authored almost 2 years ago by John Demme <[email protected]>
[NFC] Change capitalization of annotation class name to match chisel3.

e9be3d1fe352122ca41519a30c6bd9c8ddb6395f authored almost 2 years ago by Andrew Lenharth <[email protected]>
[FIRRTL] Move FVectorType to ODS (#4534)

Use a flag genStorageClass to let us continue to use a custom storage class.

63ff20b7d07ce1261d1c3ed403d8055c5db056db authored almost 2 years ago by Robert Young <[email protected]>
[FIRRTL] Use circuit location for annotation file parse errors. (#4541)

The inline annotation location is invalid if none are specified,
and since the error isn't in i...

65d668befec48ff7ce7049b64a84fde5a8175721 authored almost 2 years ago by Will Dietz <[email protected]>
[firtool] Add include directory flag (#4539)

Can be used to provide nicer diagnostics or to help find supporting files like annotations.

54c83546629b50aaf809226878184a8f339af940 authored almost 2 years ago by Will Dietz <[email protected]>
[Utils] Bump OR-Tools version.

Simple version bump to keep things up-to-date. The SCIP solver was recently re-licensed under Ap...

7e12c8f3f8a054719d8dd268e2c58c7395ac842c authored almost 2 years ago by Julian Oppermann <[email protected]>
[FIRRTL] Remove validif from integration test

Signed-off-by: Schuyler Eldridge <[email protected]>

00761cd8db0ed93bc11a6b53b20fc6c81fac672d authored almost 2 years ago by Schuyler Eldridge <[email protected]>
[PyCDE] Add bitwise `and`, `or`, and `or_reduce` as convience functions

5a286a35b776f9898abf65d2dc3a8fb7c387aca8 authored almost 2 years ago by John Demme <[email protected]>
[FIRRTL] Remove validif support

Remove all ability to parse the conditionally valid (validif) construct.
This construct was neve...

3682999e35577c4c56d34cc6e7f390befe6c07c1 authored almost 2 years ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Whitespace cleanup

Signed-off-by: Schuyler Eldridge <[email protected]>

1959b3bf4129b927bce2e49a37a3b8149ab7d3b6 authored almost 2 years ago by Schuyler Eldridge <[email protected]>
[PyCDE] Separate BitVectors into Integers vs. Bits (#4530)

Introduce separate class hierarchies for signless integers (which PyCDE calls 'Bits') and signed...

31c05aa8bd896fa9e0434b16bde19362c812b2d4 authored almost 2 years ago by John Demme <[email protected]>
[Handshake] Fix iteration-over-modified-range bug in ControlMergeOp canonicalizer (#4531)

... As well as adding aa missing argument to the PyCDE test which triggered this bug.

6b951e2b1b7217768575b8743e4fe166cf4dafc2 authored almost 2 years ago by Morten Borup Petersen <[email protected]>
[FIRRTL][CheckCombLoops] Handle scalar to Aggregate paths (#4526)

Fix a bug in CheckCombLoops pass, it was not handling paths between ground
type and aggregates...

7eeeeb15e3cd211d6004ffc90052e2b755066daa authored almost 2 years ago by Prithayan Barua <[email protected]>
[FIRRTL][CheckCombLoops] Refactor the lit tests. NFC (#4527)

Properly format the lit tests.

a5a3d835bae0aa10d6282ae4ce9549aa1c8c790b authored almost 2 years ago by Prithayan Barua <[email protected]>
[NFC] Increate verbosity of failed reset inference

63600b751d229754589087a4106d76f5f5ad1f67 authored almost 2 years ago by Andrew Lenharth <[email protected]>
[FIRRTL][CheckCombLoops] Switch to the new pass checkCombLoops instead of checkCombCycles (#4513)

Switch to the new CheckCombLoops pass and add a flag
`--use-old-check-comb-cycles` to switch t...

0cf02d213222fedf94a8f8a714ba90ea045c4d4e authored almost 2 years ago by Prithayan Barua <[email protected]>
[FIRRTL][CheckCombLoops] Refactor the implementation. (#4521)

Refactor the implementation to avoid redundant traversal.
This fixes a performance regression i...

e6a9e3313a27f0ae7795ad26de3a28a621372c64 authored almost 2 years ago by Prithayan Barua <[email protected]>
[SV] Fix SVExtractTestCode to not add unnecessary input values.

Don't add values to the input set if they are the result of an op we
are already going to clone....

d571b4c0793766c544f0eae9ce02146dd474e0f9 authored almost 2 years ago by Mike Urbach <[email protected]>
[CI] Enable statistics when building binaries for releases. (#4515)

Statistics are useful, e.g. for metrics.

Insignificant impact on binary size (locally: +200K ...

048a2ed0e3399bdfdfdd4df0e9bc31b6b6463909 authored almost 2 years ago by Will Dietz <[email protected]>
[Seq] Replace unitialized array elements of firreg with constant zero (#4510)

For reset-less 1d array registers, replace an unitialized elemenent with
constant zero. For exa...

ed1698f1d19ec54f42e9bd4f69fe0037191ede54 authored almost 2 years ago by Hideto Ueno <[email protected]>
[ExportVerilog] Inline bitcast op when only used by concat (#4493)

Bitcast is generally not allowed to be inlined but we can inline
if its user is (array)concat s...

564f3a99bd76fc8d6d77c500a856a65ed5e3ae0d authored almost 2 years ago by Hideto Ueno <[email protected]>
[LowerTypes] Force type lowering of ref type operations to fix memtap type mismatch (#4509)

HWMemSimImpl creates unpacked array registers for memory storage. However with aggregate preserv...

8b105752d8d43881c9ad40d46827ee2adf75e551 authored almost 2 years ago by Hideto Ueno <[email protected]>