Ecosyste.ms: OpenCollective

An open API service for software projects hosted on Open Collective.

github.com/llvm/circt

Circuit IR Compilers and Tools
https://github.com/llvm/circt

[Arc] Add makeshift input preprocessing (#4683)

Many CIRCT frontends don't generate clean HW representations of their
inputs, but instead inter...

fc36fad1c1bf6fbe09c1e14a1332f18e623b7f7c authored over 1 year ago by Fabian Schuiki <[email protected]>
[Arc] Add lookup table generation pass (#4682)

Add the `MakeTables` pass which finds small arcs and converts them into
a lookup table where po...

7921813f405e83a8d313360562e26a8ed5b5b62b authored over 1 year ago by Fabian Schuiki <[email protected]>
[Arc] Add constant sinking pass (#4715)

Add the `SinkInputs` pass which sinks constants into arc definitions
where possible. The arc co...

c04d52e637682dd2614abece86e60f915d03b929 authored over 1 year ago by Fabian Schuiki <[email protected]>
[Arc] Add loop splitting pass (#4714)

Add the `SplitLoops` pass which splits arcs with multiple results into
arcs with just one resul...

5a299afee25e9cc460a31d3e0184208f3accc315 authored over 1 year ago by Fabian Schuiki <[email protected]>
[FIRRTLToHW] Lower FIRRTL node to HW wire (#4824)

Lower `firrtl.node` ops to the new `hw.wire` which is pretty much a
one-to-one lowering.

1ed151a867b564446ccf9c2033511a383076357e authored over 1 year ago by Fabian Schuiki <[email protected]>
[ExportVerilog] Add hw.wire emission support (#4823)

Add support for the new `hw.wire` op to ExportVerilog. More specifically
this commit makes Lega...

0de14356d486c70ea73651f4ffc8d495a40e9cea authored over 1 year ago by Fabian Schuiki <[email protected]>
[HW] Add hw.wire operation (#4822)

Add the `hw.wire` operation to the HW dialect. A wire offers the ability
to assign a human-read...

04b00323e566d270eae94b56f5bd5697dbc85147 authored over 1 year ago by Fabian Schuiki <[email protected]>
[Support] Add `ImplicitSSAName` directive for string attributes (#4821)

Move the `{parse,print}ImplicitSSAName` directive currently used by the
SystemC dialect into th...

d6133c44d15654a7013fc59743d66c82c44fdfb1 authored over 1 year ago by Fabian Schuiki <[email protected]>
[HW] Allow inlining of all constant and aggregate ops. (#4506)

7c50ccd12c55046d948abc6db0bc8387fea08fc2 authored over 1 year ago by Julian Oppermann <[email protected]>
[PyCDE] Re-enable versioning for wheels

Revert a mistake from earlier tonight.

dcf53f3bf22f448b6f201be79c0490895e200723 authored over 1 year ago by John Demme <[email protected]>
[PyCDE] Avoid another race condition during tests

Add an output directory in the `unittest` decorator to avoid `System`s
writing to the same outpu...

724c43d79bbd93454eaeff9c9b9a481a741c1413 authored over 1 year ago by John Demme <[email protected]>
[PyCDE] Wheel: exclude collateral rather than skipping auditwheel

pypi doesn't accept non-auditwheel'd packages. So we must exclude the
collateral objects instead...

288fe448598b279b47a0a356fd506898830c4630 authored over 1 year ago by John Demme <[email protected]>
[firtool] Remove many unsued CL options (#4820)

This PR removes many hidden developer options from `firtool`. These
options were more useful w...

9620d656f8a59998cc38472a60f83bfdcb6a8549 authored over 1 year ago by Andrew Young <[email protected]>
[PyCDE] Note minimum cmake version

d7cd96e299b03f19792229617d612d25a18e26ae authored over 1 year ago by John Demme <[email protected]>
[ExportVerilog] Avoid splitting wire decl and assign in expr inlining (#4819)

Currently the `lowerAlwaysInlineOperation` function will happily move
ops right in front of the...

357da1969a025edcda499b833852fb0a16c942f4 authored over 1 year ago by Fabian Schuiki <[email protected]>
[FIRRTLToHW] Factor out subindex/subaccess/subfield lowering; NFC

Factor the lowerings for `SubindexOp`, `SubaccessOp`, and `SubfieldOp`
out into dedicated `lower...

50f1d25b0a3a19aee14a6840e0b94717521faa0d authored over 1 year ago by Fabian Schuiki <[email protected]>
[HW] Add missing builder to StructExtractOp; NFC

Add a builder which accepts the `field` name as a `StringRef`.

5395a0f0061c644d3910523fe4019a30def64040 authored over 1 year ago by Fabian Schuiki <[email protected]>
[FIRRTL] Apply clang-format to RegisterOptimizer, NFC

This fixes the CI failure in c578e6ec

b4074535b0a34c1aad645903e6de960df92dd829 authored over 1 year ago by Hideto Ueno <[email protected]>
[RegisterOpt] Remove explicit ext/trunc and add sanity check for type

c578e6ec404a03aacb97c9752eca4a3047a58e44 authored over 1 year ago by Hideto Ueno <[email protected]>
Bump LLVM (#4805)

* Bump LLVM, fix mergeBlockBefore -> inlineBlockBefore

* Removed deprecated APIs

* Update ...

b7b35415ef83a54622d8cda94ab2e6007ff86242 authored over 1 year ago by Adam Izraelevitz <[email protected]>
[PyCDE] Package collateral SV and binaries (#4808)

Necessary to synthesize and simulate ESI systems out-of-tree. Had to refactor some CMake files t...

a858beb2d81ec7397d9bf567f48ea43e04f8277b authored over 1 year ago by John Demme <[email protected]>
[FIRRTL][InferWidths] Honor upper bounds on AttachOp (#4796)

* [FIRRTL][InferWidths] Honor upper bounds on AttachOp

Fix an issue in `InferWidths` where an...

b26be6b13d428a6f63d6407ac3225058a17e0fee authored over 1 year ago by Fabian Schuiki <[email protected]>
[CI] Update Python wheel upload to publish to PyPI directly.

Use the recommended GitHub action for this given on
packaging.python.org. Include the PyPI API t...

815286ecf1d9299162d936fbfb0ce82c935fc441 authored over 1 year ago by Mike Urbach <[email protected]>
[Arc][NFC] Add missing include for IRMapping.

Fixes build on some configurations (here, gcc 12.2+libstdc++).

Error in template bits starting ...

4937a94071b4a3e15c740ae445e14b47e1f8154e authored over 1 year ago by Will Dietz <[email protected]>
[Arc] Add arcilator convenience tool (#4700)

Add the `arcilator` convenience tool to make experimenting with the
dialect easier. The intende...

c37e320a60eb450afa8d57371f62307da58f8729 authored over 1 year ago by Fabian Schuiki <[email protected]>
[Arc] Add module force-inlining pass (#4699)

Add the `InlineModules` pass which forcefully inlines all private HW
modules. This essentially ...

3d27bf4ab26164211176c3cb65b380105fdd0432 authored over 1 year ago by Fabian Schuiki <[email protected]>
[Arc] Add deduplication pass (#4698)

Add the `Dedup` pass which deduplicates arcs with identical definitions.
If arcs differ only by...

034a9e9825e4958950848de17b76fb6de55c50e7 authored over 1 year ago by Fabian Schuiki <[email protected]>
[Arc] Relax arc body ops to isMemoryEffectFree

Instead of checking for `isPure` on arc body ops, which includes a check
for `isSpeculatable` wh...

2888108a8653760b3533c60db1a9659b0e782b76 authored over 1 year ago by Fabian Schuiki <[email protected]>
[PyCDE] Tests can sometimes clobber each other

These tests were poorly behaved

9fcfc357475bb7fbc7ce126ddf8add5db2cb327c authored over 1 year ago by John Demme <[email protected]>
[PyCDE] Include capnp, kj libs in wheel (#4799)

- Include capnp, kj libs in PyCDE cmake 'install'
- Use 'install' to build the wheel

273f8ec86e19e893e7fe110b309586057fbfe05a authored over 1 year ago by John Demme <[email protected]>
[PyCDE] Make the xrt test Windows compatible

This test revealed #4807. Changing a module name as a mitigation.

237323e7c098ba4ea539121dd40eaa14037c1ddd authored over 1 year ago by John Demme <[email protected]>
[FIRRTL] RefConnect for references (#4798)

Reference don't behave quite like normal types, so don't use the same connect operation on them....

8c93feba190b07904ba77b25a7fb76443173d25b authored over 1 year ago by Andrew Lenharth <[email protected]>
[PyCDE] Fix XRT test

Updated XRT BSP and didn't update the test. Oops.

fbd1c5e4b04fc2af8c06ee4c5d40fbeccf70d83d authored over 1 year ago by John Demme <[email protected]>
Revert "[FIRRTL] Canonicalizers: simplify resolve-of-send and hoist ref.sub out of ref.send. (#4764)"

This reverts commit 3ddf879a1ec339735174d735e0414865278c990e.

Neither of these are safe if the ...

579d21b5031763d5a808130d7397a1403cbf4972 authored over 1 year ago by Will Dietz <[email protected]>
[HW] Add InnerSymAttr::erase. (#4791)

Add method to generate a new InnerSymAttr with the specified field's inner
symbol removed.

d77dfdd47a6e7bb6dc7d790c39d40578dacccf2f authored over 1 year ago by Will Dietz <[email protected]>
[HW][InnerSym] Add InnerSymbolOpInterface::setInnerSymbolAttr (#4790)

Add method for setting all inner symbols at once, using the provided
InnerSymAttr, not just the...

d3a35b06571c9fda1d4cf270e7c50de23c4c2e00 authored over 1 year ago by Will Dietz <[email protected]>
InnerSymbolOpInterface: fixup method descriptions to match what they do. (#4793)

fc48d584c91ab9d97650d3d0de64731ca7fce175 authored over 1 year ago by Will Dietz <[email protected]>
FModuleLike: fixup method descriptions to match what they do. (#4792)

b5af0e7fc73e4bfee0d49bdcf036973d18f34303 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Verify attach operand known widths match

Add a missing verifier to the attach op that checks that all known
widths of operands must match...

96f23f91ae3ee80def6522c614d248c076d15ba2 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[RegisterOpt] Add implicit ext/trunc (#4788)

There is a bug that implicit ext/trunc of reg reset's reset values is not handled in RegisterOpt...

42edf56d5c75acbeef28384fa046c7db2e16df0c authored over 1 year ago by Hideto Ueno <[email protected]>
[FIRRTL] Refactor errors emitted during return type inference. (#4783)

Virtually NFC, cleanup a common pattern with a helper function.

Replace instances of:

```c...

56c7179b0b231e0cbd3ab521bc9c13f2d47f9572 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL][NFC] Fix decl/def name mismatch for parameter.

Appease the clang-tidy.

Rename inferUnaryReturnType's first parameter to 'input'
to match the m...

978bccc69d87037e880963c292f186661977525c authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL][NFC] Convert isDuplexValue to iterative instead of recursive. (#4784)

Don't recurse on def-use chains.

This function does not appear to be used, FWIW.

d3866b12727e7d74ab3795336377e87ce226abf0 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] make IMCP correct for registers and more aggressive (#4777)

Make IMCP not try to optimize registers. All the existing firrtl register optimizations are unsa...

b054d21b72bf8bfc9b93ba14d19fac3e2bb6a18e authored over 1 year ago by Andrew Lenharth <[email protected]>
[FIRRTL] Support 'module' as identifier for instance names (#4589)

Detect the 'module' string being parsed as `kw_module` instead of `identifier` when it's used as...

0ea3cc0cb36177d72ae717c53c3e57b6009cf914 authored over 1 year ago by Aditya Naik <[email protected]>
[FIRRTL] Remove Buildable from FIRRTL hardware types (#4742)

Since all hardware types will be able to be 'const', previously buildable types
will no longer ...

f0e86dc259e153eecce6541d4634fa8d7db57d5a authored over 1 year ago by Daniel Resnick <[email protected]>
[FIRRTL][MemToRegOfVec] Fix threading issues/crash, perf improvement. (#4779)

ModuleNamespace was used by multiple threads and was walking modules
for every memory within.
...

582cb75cc951165433e7c851a67970412b99acf9 authored over 1 year ago by Will Dietz <[email protected]>
[ExportVerilog] Fix crash in `isDuplicatableExpression` (#4782)

This fixes a crash in isDuplicatableExpression when array index is a port or read of a port.

7a262981ad5fb5701b7551ef6c9ae09b32e53b97 authored over 1 year ago by Hideto Ueno <[email protected]>
[FIRRTL][NFCI] Cleanup some casting/types, leverage TypedValue a bit. (#4778)

Add FIRRTLValue, FIRRTLBaseValue conveniences, use a little.

Narrow SubindexOp/SubaccesOp to ...

580749c03469064372d6d11d78bd0b8737ec6f00 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Fix MemToRegOfVec DUT/No DUT Behavior

Change the MemToRegOfVec pass to work on all memories (which match its
filtering criteria) if th...

478792ae1d18b2b1d7878bf824eabd2b8f33b400 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Fix Mem Metadata DUT/No-DUT Logic

Remove the "tb_seq_mems.json" metadata file as this has not been used
for a long time. This was...

5e6d68af872235203bd93e469746f91df8391020 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[NFC] Fix nits in JSON.h

547945e48f465fba71618faccb043ed7ddecac43 authored over 1 year ago by Nandor Licker <[email protected]>
[Support][NFC] Factor out ImplicitSSAName directive (#4774)

The FIRRTL and SV dialects have an almost interchangeable implementation
of the `ImplicitSSANam...

aa82cb6e11f4f5a8993f173adcfbd9cabdc0a500 authored over 1 year ago by Fabian Schuiki <[email protected]>
[FIRRTL] Use TypeParameter to add descriptions to type params.

b2b5ab32b25018cb3bbe583002e87b6e84fb906d authored over 1 year ago by Will Dietz <[email protected]>
[ExportVerilog] Remove debugging code and swearing.

Especially since this is likely our bug if encountered.

This check is already covered in the as...

cb0b4411c60ca7e0b5dad5b652d1dc65dc2f49a7 authored over 1 year ago by Will Dietz <[email protected]>
[NFC] clang-tidy fix

b7a02d081915ecfa3f47f8ee7daf376a6c785e57 authored over 1 year ago by Nandor Licker <[email protected]>
[NFC] Also allow logic in array_get inlining

c98196ea4d28921e42cac8aebf798aa3bb3a228f authored over 1 year ago by Nandor Licker <[email protected]>
[circt-opt] Print a version string

This commit adds `cl::AddExtraVersionPrinter` to circt-opt as we are doing
in firtool.

c187f68b3f5ec739c649afab92ca11df18530872 authored over 1 year ago by Hideto Ueno <[email protected]>
[ExportVerilog] Allow inlining of `hw.array_get` when index is produced by Vivado mitigation

5a0f3ba75aa1b2ec6428b68d312ea042b357a359 authored over 1 year ago by Nandor Licker <[email protected]>
[FIRRTL] Clear CreateSiFiveMetadata global state

Fix a bug in CreateSiFiveMetadata where global state is not cleared
between invocations of "runO...

cdee4f77796a1b99ad004243294eee5b3d738bbd authored over 1 year ago by Schuyler Eldridge <[email protected]>
[HW] Fix ArrayGetOp Python binding

Fix an issue introduced in 81966c0ac that affects the ArrayGetOp Python
binding.

8c7f7da5d943b2d6fc32ed0a6fc3aee8ecdc3fa3 authored over 1 year ago by Fabian Schuiki <[email protected]>
[HW][NFC] Add missing InferTypeOpInterface for ArrayGetOp

The `ArrayGetOp` has a `TypesMatchWith` constraint which ensures that
the result type of the op ...

81966c0ac45f931fbb1251c511938ef5e29f9700 authored over 1 year ago by Fabian Schuiki <[email protected]>
[firtool] Test Whitespace Cleanup, NFC

Signed-off-by: Schuyler Eldridge <[email protected]>

60bb5d759d340559a7d91aa9acf82ead48b712ea authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL][NFC] Remove WidthTypeStorage forward declaration.

This was removed in b6d8508ba104b3120965dc031a3edfd538fb4602 .

406dd2194414f22b6af5e3174af0381b0695707f authored over 1 year ago by Will Dietz <[email protected]>
[HWToLLVM] Remove unnecessary target materializations (#4761)

2d3347037cc1430388182ce63622a66d25854dd0 authored over 1 year ago by Martin Erhart <[email protected]>
[Support] Move JSON-to-Attribute conversion to Support (#4769)

3c4d92b0b7854b35f673c03e2d343193967b21a2 authored over 1 year ago by Nandor Licker <[email protected]>
[FIRRTL][LowerXMR] Fix XMR to instance port/result. (#4767)

InstanceOp is "special" in that the inner symbol targets
the instance itself and its results ca...

633135260edb706167587f57d5416fe8faff695b authored over 1 year ago by Will Dietz <[email protected]>
[LowerSeqToSV] Fix typos, nfc

e264dbed741dc617f9e2a2f96067b07087060cff authored over 1 year ago by Hideto Ueno <[email protected]>
[LowerSeqToSV] Use a dynamic index for array registers if possible (#4689)

This commit tries lowering firrtl subaccess operation into SV variable
index assignment when po...

80fac100fe14c7ded3ecfa19dd2d54abb9a98396 authored over 1 year ago by Hideto Ueno <[email protected]>
[FIRRTL] Canonicalizers: simplify resolve-of-send and hoist ref.sub out of ref.send. (#4764)

1) Collapse a trivial ref.resolve of an ref.send:
ref.resolve(ref.send(x)) -> x

2) Hoist ref...

3ddf879a1ec339735174d735e0414865278c990e authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Fix firrtl-emit-metadata for SiTest BBox

Fix incorrect behavior for SiTest BlackBoxes when the
firrtl-emit-metadata pass is run without a...

323f8b790789ddf6229c8048c3dc63821fbc58ef authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL][LowerXMR] Don't crash if encounter unexpected ref.sub. (#4763)

1) Don't dereference op after checking if it's null.
(shame compiler doesn't see through thi...

dda6713aadb25c03c89aaa6b62af4e67b620dd8a authored over 1 year ago by Will Dietz <[email protected]>
FIRRTLUtils: Support RefType in getFieldName.

Encountered through InferWidth's debug prints.

3c99a85032c2589024701fde6193184be8fdcc7a authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL][NFCI] Use FConnectLike to abstract over connection types. (#4765)

Ensure code continues to work for anything that's FConnectLike,
without having to add it to eac...

33ecf5face601a067c09b43dc4db601e20931ac0 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTLFolds] Fix width mismatch (#4762)

https://github.com/llvm/circt/commit/c4447004c344e5a3391a1d7546565197a7dd324f causes a width mis...

71b8fc7e4dbd8ea8846993db9e44896c7508a025 authored over 1 year ago by Hideto Ueno <[email protected]>
[ESI][XRT] Start of MMIO: magic number and version (#4759)

Implements a very basic XRT register MMIO space. Has the ESI magic number and version number.

d483ad86f68d8a16f3c70ec3ab5098bddf3ae92a authored over 1 year ago by John Demme <[email protected]>
[FIRRTL] Correct memory lowering behaviour for vb-to-bv conversion (#4746)

Perform vb->bv conversion before flattening memories. The vb-to-bv pass cannot
reshape memories...

8575353c9abcc5ef2803516d9569737cef281757 authored over 1 year ago by Robert Young <[email protected]>
[HWToLLVM] Add support for aggregate constant op (#4756)

05eeac696af4e08d69486076c73c6de2275cf847 authored over 1 year ago by Martin Erhart <[email protected]>
[LLHD] Only permit signal creation in entity and proc ops (#4757)

b471eecffc54d5845ce0dc320c3fbe1659d8a023 authored over 1 year ago by Martin Erhart <[email protected]>
[PyCDE] Fix code recently broken by changes in MLIR and CIRCT

- Different function signature for running the PassManager.
- No longer require SVAttributesAttr...

53715afc0c5fbfe65d0a1f7cb7946666fbee649f authored over 1 year ago by John Demme <[email protected]>
Bump LLVM (#4754)

Only change on our side was to Python integration tests, which needed a small tweak to `pm.run` ...

973aeb405b8201a260e3833a95f0d8f9784c13eb authored over 1 year ago by Will Dietz <[email protected]>
[Arc] Verify that arc bodies are pure (#4750)

f573bd2a10ea8aeea46ad939a8a8efee4f4ec010 authored over 1 year ago by Martin Erhart <[email protected]>
[ExportVerilog] Remove comments for instances emitted as binds (#4732)

7e3193e11bb5fee541f540b6d0c2cad438d00713 authored over 1 year ago by Robert Young <[email protected]>
Remove pipelining from simplified single-address memory reads (#4739)

My initial understanding of memory latencies was incorrect and I wrongly inserted pipelining aft...

0edf1106ba3eebd1e3a2669329e769a839a3d681 authored over 1 year ago by Nandor Licker <[email protected]>
[ExtractInstances] Fix nondeterminism with MapVector. (#4749)

This fixes nondeterminism caused by iteration over DenseMap. Instead use MapVector to guarantee ...

ec49cbe67d259bf0c6482058efc7211e6605c328 authored over 1 year ago by Hideto Ueno <[email protected]>
[CI] Swap build mode of gcc and clang (#4747)

GCC+debug consumes too much memory. This swaps gcc and clang build mode.

CI: https://github.c...

f340cda646efd1e6b79cf986bac2ead298ede9c2 authored over 1 year ago by Hideto Ueno <[email protected]>
[ExportVerilog] Add KEEP attr to Vivado array index bug workaround (#4744)

Rename the `disallowArrayIndexInlining` lowering option to
`mitigateVivadoArrayIndexConstPropBu...

8829a399e4d076392e2d31d9a6dfe8e500424912 authored over 1 year ago by Fabian Schuiki <[email protected]>
[SV] Move emitAsComment to SVAttributeAttr; remove SVAttributesAttr (#4743)

* [SV] Move emitAsComment to SVAttributeAttr; remove SVAttributesAttr

Move the `emitAsComment...

811f79d37ad2a6031d616d9e24a7c93f46079fee authored over 1 year ago by Fabian Schuiki <[email protected]>
[FIRRTL] Add a pass to convert VoB -> BoV conversion (#4654)

This pass converts objects with the shape vector<bundle> to bundle<vector>.

38b1f39856093872ead74b49ca186c10d871eeed authored over 1 year ago by Robert Young <[email protected]>
[FIRRTL] Instrinsic Modules (#4733)

These will in the short term replace the intrinsic annotation. This initially only adds represen...

0e06b7c9ee49d7c7c30e67623b134bf31ac7e67f authored over 1 year ago by Andrew Lenharth <[email protected]>
[Python] Change the package name to just circt.

This aligns the package name to the module name in the code.

This will be `pip install circt`, ...

2cb7e12e388ab5340421ea8ff363fca6e02d8013 authored over 1 year ago by Mike Urbach <[email protected]>
[ESI] Add more flexibility to port names during lowering (#4736)

Some external modules use unusual port naming conventions. Since we want
to avoid users needing...

ff72f7771c1d42afc56274f1b3c91a0602482e40 authored over 1 year ago by John Demme <[email protected]>
[ExportVerilog] Lowering option to emit 'wire' in port list (#4737)

Used to avoid warnings and in situations where other code has messed with the `default_nettype`.

92928483927e7d264d4a687aa7f4e9c86309476e authored over 1 year ago by John Demme <[email protected]>
[Support] Move FirtoolPassInstrumentation to a support header (#4738)

This commit moves FirtoolPassInstrumentation to a support header and rename FirtoolPassInstrumen...

f7963642b65b90dc964f536b92be16e43352fdee authored over 1 year ago by Hideto Ueno <[email protected]>
Disable folder for 4734 (#4735)

Disable memory folder to deal with #4734

65e0c09f1c887e80aafb30a847b28db47fff103f authored over 1 year ago by Andrew Lenharth <[email protected]>
[FIRRTL][NFCI] Move RefType to ODS (#4731)

3862402ea640efec3b0abf01714e75372ff9a398 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL][FIRParser] Fix error locations for refs in aggregates.

Not presently reachable, but if a reference type is parsed
print error on parssd tokens not, e.g...

e7ccb5579d6b34cddee128a4cb0b547de0255dd6 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL][NFC] Alpha-sort tokens consistently, capitals first.

8263de347d3d5194067c643f8afc036d1ebf9732 authored over 1 year ago by Will Dietz <[email protected]>
LLVM bump (#4704)

Only minor changes needed on our end:
* circt-translate: Update test to reflect supporting mult...

dc8abdf8f6d1b1a0af2f331175b28efdbb06a1d7 authored over 1 year ago by Will Dietz <[email protected]>
[Arc] Avoid side-effects in assert

7dd667052399c8f8bf3881fdd63291a495f3bda4 authored over 1 year ago by Martin Erhart <[email protected]>