Ecosyste.ms: OpenCollective

An open API service for software projects hosted on Open Collective.

github.com/llvm/circt

Circuit IR Compilers and Tools
https://github.com/llvm/circt

[OM] Add Evaluator API to get the underlying Module.

This API is useful when you have an Evaluator, but need to get back to
the core MLIR data struct...

9e3aa6e638f96d565a659dc8003b0f799d42b3c7 authored over 1 year ago by Mike Urbach <[email protected]>
[HW][SV] Allow control of initial blocks setting memories (#5237)

Added a separate macro to bypass synthesis and enable initial blocks setting memories lowered th...

c7100bed69631f6ee4849c1e0a5f73d4aa926c15 authored over 1 year ago by Nandor Licker <[email protected]>
[CI] Add runs with Valgrind to CI (#5085)

Co-authored-by: Nandor Licker <[email protected]>

b7eb1d457d75a22d3d97b281db83e7d8e6cbebce authored over 1 year ago by Nandor Licker <[email protected]>
[OM] Add a symbol reference attribute (#5230)

Add a flat symbol reference attribute to OM dialect. This is required in
addition to the InnerR...

4a61f19ebe15e614995831aedfad8712f2f8fed7 authored over 1 year ago by Prithayan Barua <[email protected]>
[ESI] Fix cosim integration test

There is a use-case for CosimDpi.capnp in the tests and install, so
make sure to locate it prope...

f0bfdf6c79a128db85af362395f28544a303fd59 authored over 1 year ago by John Demme <[email protected]>
[NFC][PyCDE] Moving Cosim BSP into bsp dir

Since the XrtBSP is in that dir, move into it to increase consistency.

698d7f11228136f2968b1670f3edb96bb0ee4d4d authored over 1 year ago by John Demme <[email protected]>
[PyCDE] Fixing ESI tests

A few issues:
- The new C++ API emission pass was choking on `test_esi.py` since one
of it's ser...

a2e14f6d96945e7b4ce44eea7f103df0b850d897 authored over 1 year ago by John Demme <[email protected]>
[PyCDE][ESI] Fixing pycde install's collateral

Wasn't installing the right runtime / build files into the pycde
package. Managed to get everyth...

5e79992979148e820db08fc4c538d217e0b80a98 authored over 1 year ago by John Demme <[email protected]>
[FIRRTL] Reject ref statements for some ports but not all. (#5233)

For use w/deferred linking or the new ABI, ref statements
are not required. However, if they'r...

5e3b50325d81f9c6c264958532bac44dff995f96 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL][LowerXMR] Use ABI for refs when no internal path info. (#5229)

Ref-type ports on external modules should resolve to uses of the ABI macros when the path inform...

7bbf7adc882686ea337d4ebb371cf204f6b04d73 authored over 1 year ago by Will Dietz <[email protected]>
[ExportVerilog] Fix formatting involving macro definition. (#5232)

Fixes https://github.com/llvm/circt/issues/5231 .

Add regression test for macro formatting.

20d5090328e25dedfc3e3d54141c68486aa6c4fe authored over 1 year ago by Will Dietz <[email protected]>
[Seq][HW] Add a macro to enable register initializers (#5222)

`ENABLE_INITIAL_REG_` is used to permit fine-grained control over register initializers.
It is ...

28e76f8a7923beb38ba3b3e998c079dcc1cb95ed authored over 1 year ago by Nandor Licker <[email protected]>
[HandshakeToDC] Add conversion (#5214)

... progressive lowering of handshake!
This obviously requires a future addition of an `arith-t...

fca113cf8996d4c3cebe9158f9dfb66528d800ec authored over 1 year ago by Morten Borup Petersen <[email protected]>
[DC] Modify some rationale/op prose

1cde37b4891c7151fa4ac53bf41e2d60ea3df189 authored over 1 year ago by Morten Borup Petersen <[email protected]>
[DC] Add merge operation (#5213)

`dc.merge` will select one of the incoming tokens and emit an output stating which token was sel...

f9a89749d19eff683932eccc6ff88a8016dcc687 authored over 1 year ago by Morten Borup Petersen <[email protected]>
Bump LLVM (#5228)

2e088d2d00ebcadc8176ad221182175d2c1c18cb authored over 1 year ago by Girish Pai <[email protected]>
[ESI][C++ API] Cleaning up more references to 'cosim' (#5227)

278e8abcbb3f6dc269b265b6f57b798318728425 authored over 1 year ago by John Demme <[email protected]>
[ESI][C++ API] Report pass failure

Signal a pass failure in addition to just emitting the error.

fe46da4862963583f89842531cbbb60b455ed9a6 authored over 1 year ago by John Demme <[email protected]>
[WireDFT] Add support for wiring optional clock div bypass signal. (#5219)

If a signal is annotated as the clock divider bypass signal, wire it to clock gates that have th...

6043aa41a0207ab852d60b9e2c7f1ba997db08ec authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Const handling in cast ops (#5151)

* [FIRRTL] Const handling in cast ops

This commit adds a ConstCastOp for casting away constne...

2423baa0201b9e88c4376558a752e595bbbbf16d authored over 1 year ago by Daniel Resnick <[email protected]>
[SV] Add lowering option to emit separate always blocks (#5211)

b60dee984961584cc4c58aa87f6c0d5c18e158c3 authored over 1 year ago by Nandor Licker <[email protected]>
Add StringType to FIRRTL dialect (#5208)

0e0b40e6ea995f474b5504fab1029fde30e1829f authored over 1 year ago by Robert Young <[email protected]>
[DC] Address canonicalizer review comments (#5212)

d6a34c62cbf4fc5004e47a7bd39cb5beb7857398 authored over 1 year ago by Morten Borup Petersen <[email protected]>
[LowerSeqToSV] Fix a dominance bug when restoring subaccess (#5215)

There was a bug in LowerSeqToSV which creates invalid IR regarding dominance. Previously sv.arra...

151def430e225c858f65699a34e45c2deee82bd9 authored over 1 year ago by Hideto Ueno <[email protected]>
[DC] Add fork/sink materialization passes (#5159)

dcc4b03ce7fe7bb5fc729f9243f8972bae35ec5a authored over 1 year ago by Morten Borup Petersen <[email protected]>
[FIRRTL] Handle flips in const connections (#5210)

* [FIRRTL] Handle flips in const connections

* Also fix a partial connect reset flip edge case

9d51934a38205f383a1fd0a2255227cf2dde8818 authored over 1 year ago by Daniel Resnick <[email protected]>
[FIREmitter] Support Open aggregates, RefSubOp (#5179)

Support OpenVector, OpenBundle types.
Support OpenSubfield, OpenSubIndex, RefSubOp.

Add expo...

00ddb3499aad4286c9d6ef759b24d0e0650947fc authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTl] Add a type interface for querying phase compatibility (#5206)

Phases separate the values in a firrtl program into two worlds, the property
world and the hard...

2d053b32c9c0e5dfd22250321fa0b29717757d70 authored over 1 year ago by Robert Young <[email protected]>
[OM] Add initial implementation of Evaluator. (#5193)

The Evaluator is responsible for evaluating the object model according
to the semantics defined...

a3ac707ef5ab29adf1d0ff646e2248a4f73b6f39 authored over 1 year ago by Mike Urbach <[email protected]>
[Arc] SinkInputs: update to CallOpMutableInterface and merge into ArcCanonicalizer (#5201)

Having SinkInputs as a rewrite pattern as part of ArcCanonicalizer allows to directly perform co...

6835cf9f0ff91324e5a357d42503f96807191f5b authored over 1 year ago by Martin Erhart <[email protected]>
[Arc] Merge RemoveArcArgsPattern into ArcCanonicalizer (#5200)

It probably makes sense to add this single pattern to the new ArcCanonicalizer pass since it (1)...

92303b0d579a7f3d1f3945cba7679cd14ef923d0 authored over 1 year ago by Martin Erhart <[email protected]>
[Arc] Simulation specific optimization for comb.icmp (#5198)

On the HW/Comb level, the `comb.concat` operation is basically considered free. However, in simu...

26d1d3f1e5a219da289d49e17140d83c3c6109a6 authored over 1 year ago by Martin Erhart <[email protected]>
[arcilator] Convenience flag for printing debug info (#5199)

To print debug info in MLIR output, the AsmPrinter CLI option mlir-print-debuginfo could be used...

c593ea1d8bf32ca4ff0ab9cd3a8718deb9a31875 authored over 1 year ago by Martin Erhart <[email protected]>
[Arc] Add ArcCanonicalizer pass

Add a pass that allows us to implement canonicalization patterns that
require a symbol cache to ...

ac2b620582dc9e01eb59c5450d6c22985a1164e6 authored over 1 year ago by Martin Erhart <[email protected]>
[Arc] Change MemoryWritePortOp to also take an arc

ed7deb7d90d87d605379f4b556473c900ee9765a authored over 1 year ago by Martin Erhart <[email protected]>
[FIRRTL] Export named references as macros to Verilog files (#5180)

* [FIRRTL] Export named references as macros to Verilog files

---------

Co-authored-by: Hi...

a7e073f1b8397f20c88ba9e8a5427a9c7a75111a authored over 1 year ago by Daniel Resnick <[email protected]>
[circt-lec] Clean up includes and linking (#5186)

Add the z3 include directories as system includes, not normal includes, to the
circt-lec tool. ...

620fb72918f384b55016412bc377dd9816536dda authored over 1 year ago by Robert Young <[email protected]>
[DC] Add canonicalization patterns (#5158)

3aed4df09b23514c308bdd05174ca4c2b1c66679 authored over 1 year ago by Morten Borup Petersen <[email protected]>
[Arc] Include the addressing type in the memory type

71b61467e4d9fb4d0b4bcad5c585d2987e3f8d09 authored over 1 year ago by Martin Erhart <[email protected]>
[Arc] Fix arc.output verifier

76e7f63ca84efafe7f9a6551814fe17d8d87f659 authored over 1 year ago by Martin Erhart <[email protected]>
[Arc] MemoryReadPortOp: remove enable and clock operand (#5194)

* Remove the optional enable and clock operands from `arc.memory_read_port` making it a combinat...

43e69a81c6dbb49f09de64f10c970bb436eada44 authored over 1 year ago by Martin Erhart <[email protected]>
[FIRRTL] Fix connect verifier for enums (#5183)

Enumerations can only be connected when all variants, compared in order,
have the same name and...

8704977711a8bc9381f537894d65343c5ae6039e authored over 1 year ago by Andrew Young <[email protected]>
[firtool] Erase extra verbatim files before printing final MLIR. (#5181)

There may be several files generated during any given run of firtool,
represented as sv.verbati...

81466ad866ca7e8537498971e38614a831063c1d authored over 1 year ago by Mike Urbach <[email protected]>
[HWArithToHW] Restore access to HWArith-specific patterns. (#5185)

2d4b530ab166b1c6b4e71338db87aabcc272ecc2 authored over 1 year ago by Julian Oppermann <[email protected]>
[llvm] Submodule bump

Minor bump for small bugfix. Shouldn't break anything.

880e36e163a42ce38143ad6ffcbcaa413e32d436 authored over 1 year ago by John Demme <[email protected]>
[FIREmitter] Use PrettyPrinter for breaking/indenting. (#5176)

Adds option for controlling target line width (`--target-line-length=120`).

d4ab551d910800632cdde403b5834c326d8c76fd authored over 1 year ago by Will Dietz <[email protected]>
[CI] Add python3.8 manylinux wheel (#5174)

a6d1074910cc2f66c1f04a6506281aac37f52c6a authored over 1 year ago by rsetaluri <[email protected]>
Bump LLVM (#5172)

1bce2648d8c7dccaf09ef745514c5128ef8fdede authored over 1 year ago by Fabian Schuiki <[email protected]>
[DC] Add ops and types (#5157)

967b93f6252902255de937fb13cc304b978fc779 authored over 1 year ago by Morten Borup Petersen <[email protected]>
[FIRRTL] Canonicalize refresolve(forceable.ref) -> forceable.data. (#5170)

de03ab500b1803eec73c4418af269151342094d6 authored over 1 year ago by Will Dietz <[email protected]>
[Arc] Use LLVM to cast to interface, cast from Operation *.

e2d73928da8515ea017d607754a449857c3d0e5d authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] restrict refresolve(refsend) to types matching.

05621f94c4a83deef96a6bcc5be6539050f5cb9c authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] ref.resolve(ref.send(a)) -> a

Add a canonicalizer that removes a "ref.resolve" of a "ref.send",
replacing this with the origin...

f39e8e788c5e3ea4cf7d2e7533bc4d26dcf0492d authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Whitespace cleanup, NFC

Signed-off-by: Schuyler Eldridge <[email protected]>

9c0c24e6558daa73c1639e8c3c4519831ac4426b authored over 1 year ago by Schuyler Eldridge <[email protected]>
[Arc] Dedup: generalize to CallOpInterface (#5161)

Currently, the Dedup pass only considers StateOp as call operations to arcs because this was the...

38fa02ca191190ec865ab08ddc5367a4151b5f88 authored over 1 year ago by Martin Erhart <[email protected]>
Bump LLVM (#5165)

6d57a87fab2311981f04c5eea03daa5a26069857 authored over 1 year ago by Martin Erhart <[email protected]>
[SV] Macro definitions and declarations with arguments (#5149)

This adds a macro.decl to declare the existance and arguments of a macro.
This adds a macro.def...

39ffcf81d8eb786d2a22c12979296aa40effd145 authored over 1 year ago by Andrew Lenharth <[email protected]>
[DC] Add rationale and boilerplate (#5156)

This PR adds the DC dialect rationale and most of the dialect-related boilerplate.

fc453c51cdb6e742c01fee0821c587283b3c5afc authored over 1 year ago by Morten Borup Petersen <[email protected]>
[ExportVerilog] Allow `$` to be in the identifier (#5160)

$ can be used in the middle of the identifier so isValidVerilogCharacter should return true for $.

65ddd7440a4af2a8924e89a02b46612b45a9d0f8 authored over 1 year ago by Hideto Ueno <[email protected]>
[FIRRTL] Add width, reset cast ops to emitter

Add widthCastOp and resetCastOp to the FIRRTL emitter. These ops are
transparent no-ops that ar...

71b905d6373c855b41054d38885ebfda92af9caf authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Add Export of Force/Release Ops

Add force, force_initial, release, and release_initial to the FIRRTL
exporter. This requires do...

65546f4c61359041a3d1b07fe1851ed6492700b7 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL][FIREmitter] Emit rwprobe for uses of forceable ref result.

Check forwarding up the hierarchy produces expected FIRRTL.

e0d380299b60f489fd8216a332b7d3319995db32 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Add Emitter Support for RWProbe

Update FIRRTL's emitter to support RWProbes.

Signed-off-by: Schuyler Eldridge <schuyler.eldridg...

cd7f0d17e241c335fbd17d76804a1b94eff716b8 authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL][FIRParser] Parse mixed aggregates into open agg/sub ops. (#5128)

* Parse mixed aggregates into open agg/sub ops.
* Run LOA after parsing (before LowerAnnotation...

2fcd919c534f999c9fc57208a5b03701903a7079 authored over 1 year ago by Will Dietz <[email protected]>
[CHIRRTL] Use InnerSymbolOpInterface on cmem and smem. (#4874)

Participate in inner symbol verification, data structures, and specify how per-field/target resu...

f5360c594cf9960ef9dc66b16bfc9ed53cc681f8 authored over 1 year ago by Will Dietz <[email protected]>
[CI] Bump CI image (#5155)

83dcb0892cd12f9b848cbde7df54688abce98e91 authored over 1 year ago by Morten Borup Petersen <[email protected]>
[Arc] Add reset and enable grouping pass (#5103)

e3dec3e73d51cc457298d9d7e6457bc1ca171820 authored over 1 year ago by Bea Healy <[email protected]>
Revert LLVM bumps, see if fixes CI.

Keep the setCalleeFromCallable changes (due to MLIR change/improvement)
as defining them for now...

21978caa4ea87cbf3ecb19aea4c0648fc8e22514 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL][Folds] Fix cast from interface to operation.

e977b3fe1680eae5e1bac56ce3a696977c1a63f5 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Fix incorrect getSingleConnectUserOf when > 1 connect. (#5150)

In particular, this caused a number of folder/etc users of this
method to erroneously believe t...

cbb7c5757bcafbfb7be9729ddb452d19aba08990 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] More defensive creation of Forceable declarations. (#5148)

Check declarations have appropriate types before creation, and reject declarations of non-base f...

93c5c1ab167d9e6be48a7ee93cec4290039e3da1 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Add LowerOpenAggs pass. (#5137)

Immediately after parsing (cc #5128), "lower" the open aggregates containing mixed hardware into...

5cf4c49ba2aae1e6b167e7d77fbb176627424d4e authored over 1 year ago by Will Dietz <[email protected]>
[NFC] Get rid of some macros which were requested to be removed in initial PR

8cea89623611f30491a4347f14e07d2aff9d6da6 authored over 1 year ago by Andrew Lenharth <[email protected]>
[NFC] reduce macro to function scope in circt-lec

47f54d92842962e0d6cb64a797c460a7c41b86cf authored over 1 year ago by Andrew Lenharth <[email protected]>
[NFC] Minor formatting

3a7fd3286d1ff240cb68162882452969c81a5161 authored over 1 year ago by Andrew Lenharth <[email protected]>
Accidental llvm bump plus warning removal

285a7dead2bf676e6c8ef03bab2504736878c882 authored over 1 year ago by Andrew Lenharth <[email protected]>
[NFC] Fix warnings

7f4cdee6470b1141e878aea8efac6375f399c8d3 authored over 1 year ago by Andrew Lenharth <[email protected]>
[Arc] Generalize InlineArcs pass (#5144)

InlineArcs only considers arc.state operations at the moment. This adds
support to also inline ...

9d7a8c1f1f665cc26811c60e6ca0730420d2a538 authored over 1 year ago by Martin Erhart <[email protected]>
[Arc] Add IsolateClocks pass (#5146)

Implement the clock isolation into the clock_domain op that got added earlier. Implement an inte...

9b3488d4be7a3710f528191ea99df20218d3b54a authored over 1 year ago by Martin Erhart <[email protected]>
[Arc] Add StorageGetOp canonicalizer (#5147)

* Since `arc.storage.get` does not access the storage, but just adds a constant to the pointer (...

ff016d5c3a84693ca4bcb09e748c0c74a36a0033 authored over 1 year ago by Martin Erhart <[email protected]>
[FIRRTL] Add verifiers rejecting rwprobe(const) on RefType, Forceable. (#4982)

3949965198d5c0de37967e60070097e716172d52 authored over 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Update Exporter for some Ref Ops

Update the FIRRTL exporter to support reference types (probe and rwprobe)
as well as probe, defi...

50636dde95e2c959e737a2328099e7e9e1dc9cec authored over 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Add Open variants of vector/bundle, subindex/subfield. (#5127)

Open variants allow non-hw types within, and are themselves
not hardware types.

These are pl...

e72353deaa1e6a2803c6c7c5156d5b8e2acd8b87 authored over 1 year ago by Will Dietz <[email protected]>
[Arc] Add LatencyRetiming pass (#5141)

6d5da17923685426cdc543812cf10aaec7b958ff authored over 1 year ago by Martin Erhart <[email protected]>
[FIRRTL][NFC] rename test case for correct file extension (.fir -> .mlir).

da651d1700052c8e800856b9cff6bf40ef5714ce authored over 1 year ago by Will Dietz <[email protected]>
[firtool][circt-as] Handle new error result for writeBytecodeToFile. (#5140)

1bc12bccd20a7e34c865108dae6aa196c2e11156 authored over 1 year ago by Will Dietz <[email protected]>
[HandshakeToFIRRTL] <- deprecate (#5130)

Removes the handshake to FIRRTL lowering pass.

Also:
* All handshake integration tests now r...

36289534c66fb59c0a4fa7b811e7f5021fa5da9b authored over 1 year ago by Morten Borup Petersen <[email protected]>
bump llvm (#5120)

d28782020a2e269801ec60e4d1ed89f286f07152 authored over 1 year ago by Deborah Soung <[email protected]>
Make FModuleLike inherit from HWModuleLike (#4659)

This will save us a few casts from FModuleLike to HWModuleLike.

17252793056bea67b4853da65e2b857cb28f12a5 authored over 1 year ago by Robert Young <[email protected]>
[Docs] Add Arc to dialects diagram (#5134)

675dbedc4fbdd85ef4b9229a33f1bc1e9ae08a9d authored over 1 year ago by Martin Erhart <[email protected]>
[FIRRTL][InferResets] Fix inference through ref.sub. (#5136)

Use same types for both sides, avoid uneven indexing.

Add test that crashes without this change.

5f9976e7cac23f9ce9ab67bddbb8b4f2f8e6805d authored over 1 year ago by Will Dietz <[email protected]>
[circt-reduce] Fix tests for portability again, prefer /usr/bin/env. (#5135)

Don't make assumptions beyond /usr/bin/env regarding the location
of various common utilities.
...

0b462f0543913afc95c64e656589ac26fdd2392d authored over 1 year ago by Will Dietz <[email protected]>
[circt-reduce] Infrastructure improvements, bug fixes, and additional tests (#5131)

This implements some improvements to the circt-reduce infrastructure, fixes a few bugs, and adds...

cf02fee4f17f5ced9b6f4f4c2a7f38bf79ca8898 authored over 1 year ago by Martin Erhart <[email protected]>
[Arc] Fix ClockDomainOp canonicalizer (#5133)

3146ede582b6a8d40019759fa0b0cace25a10a1d authored over 1 year ago by Martin Erhart <[email protected]>
[Docs] Update dialect diagram (#4122)

33dbb6e5c3a93b64572376b9b5654baf41cd0cb2 authored over 1 year ago by Martin Erhart <[email protected]>
[Arc] Add clock_domain canonicalizer (#5018)

ba28a155b288677d4a3127c666003129089dc708 authored over 1 year ago by Martin Erhart <[email protected]>
[FIRRTL] Fix typo

5f17c5955ce136faf4cfe82c061edc42f85df4a4 authored over 1 year ago by Martin Erhart <[email protected]>
[HW] Support enum types in `hw::getBitWidth()` (#5129)

86d520f84dc7ba21785f59a84663b1a56847e825 authored over 1 year ago by Andrew Young <[email protected]>
[FIRRTL][docs] Fix broken link to symbol rationale

Fix broken link to symbol rationale by pointing to hosted version instead.

edaec627afb68380c3a302025150431f5b163a3c authored over 1 year ago by Michael Maloney <[email protected]>
[FIRRTL][LowerMatches] Fix matches with 0 and 1 variants (#5124)

This fixes two bugs in LowerMatches.

The first is when the match has no case statements, we w...

ce7df6b5a4e0b7fc1984dffd100b668fca6a9593 authored over 1 year ago by Andrew Young <[email protected]>