Ecosyste.ms: OpenCollective

An open API service for software projects hosted on Open Collective.

github.com/llvm/circt

Circuit IR Compilers and Tools
https://github.com/llvm/circt

[OM] Evaluator: Support tuple (#5903)

This implements Evaluator implementation for tuples, CAPI and python bindings.

e4f127f0fb867895525d2a1179fbd98ea8812796 authored about 1 year ago by Hideto Ueno <[email protected]>
[FIRRTL][LowerClasses] Use lambda to avoid duplicate code, NFC

89b817576cf0a028ed2dea7cda20ad07fe09247d authored about 1 year ago by Hideto Ueno <[email protected]>
[ESI][Cosim][NFC] Missed some changes in the DPI server

041695d929aa7eca433ec4f2495b81abbbf740e0 authored about 1 year ago by John Demme <[email protected]>
[FIRRTL][LowerClasses] Support lowering FIRRTL paths ops to OM (#5974)

c20b1ad35e10b06427d4605a3b0b44429811529f authored about 1 year ago by Andrew Young <[email protected]>
[FIRRTL][NFC] Add tests for per-field inner symbol errors w/ground.

c7310b9f614c0470e47c9947e9ade6a079a057d5 authored about 1 year ago by Will Dietz <[email protected]>
[NFC][ESI] Move integration tests into the dialect directory

To be more consistent with the other dialects.

e17ed8858a30775816fd44bd84e645df8433c7ad authored about 1 year ago by John Demme <[email protected]>
[FIRRTL][LowerClasses] Lower map types and map.create (#5997)

This implements Map type lowerings. Currently reject non-string or integer key types in type con...

d1400ed4385c0b307706717b45eef4c071647e59 authored about 1 year ago by Hideto Ueno <[email protected]>
[FIRRTL][IMCP] Support Properties; support materializing string/bool. (#5994)

Fixes #5940 and supports basic property const prop while visiting.

33877d854971aa117b8d89b55b61d6ef1c39abb7 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Add noRefTypePorts option to ApplyState

Add information to LowerAnnotations ApplyState about whether or not the
"noRefTypePorts" option ...

a27680af3a8175d0547a5a963b0ca0777904dbef authored about 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Support noRefTypePorts in applyGCTMemTaps

Add logic for lowering Grand Central (GCT) memory taps to real ports. Do
this by creating one p...

39b4f01a665e62b8770ea66b31abe7c1b8a9bfb2 authored about 1 year ago by Schuyler Eldridge <[email protected]>
[Ibis] Add member variable ops (#5980)

This commit adds a member variable defining operation (`ibis.var`) and a member variable derefer...

d138d1323d1473b5b7e5e00e32dfbef0f7fd0a69 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Ibis] Add container-to-HW lowering pass (#5924)

+ an end-to-end test just to document the expected order of pass execution.

99e5a3005eb6747204f0df947f4048421471fea4 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Ibis] Add `--ibis-clean-selfdrivers` pass (#5923)

Removes `ibis.port.input`s which are driven by operations within the same container, in favor of...

9fdecadd14c3a60c2462c6b8a31866a97a2f319c authored about 1 year ago by Morten Borup Petersen <[email protected]>
[NFC] Fix crash due to nullptr instead of empty attribute

c404a37e6f764d3196ab795fbb4be05187a075ed authored about 1 year ago by Nandor Licker <[email protected]>
[NFC] Reverted the removal of HW structure mutators & added tests to pin them

d0fd260c548dbf6c9258f5df5a85c066e9d971ca authored about 1 year ago by Nandor Licker <[email protected]>
[FIRRTL] Reorder applyGCTMemTaps for clarity, NFC

Move around code in applyGCTMemTaps to move checks before the body of the
function.

Signed-off-...

e82e8263896890350484c9005467a4674d6d74aa authored about 1 year ago by Schuyler Eldridge <[email protected]>
[PyCDE] Fix integration test depends for non-capnp builds

737d2cf677dcecacb80b2386ad8ae06d3995e050 authored about 1 year ago by John Demme <[email protected]>
[MSFT] Fix bug in loc resizing

Fix bug which showed up in a PyCDE test for ESI. Location attributes
weren't being resized prope...

b98edd10bb8e4b8541b406620f306a6bd7cada39 authored about 1 year ago by John Demme <[email protected]>
[MSFT] Switch MSFTModule off of FunctionInterface (#5995)

Follow what HWModule did.

6c088ee259143e05c348a68d0cd7c71c52215ab9 authored about 1 year ago by John Demme <[email protected]>
[HWArithToHW] Fixed bug on extern modules

Segfault since block is null on extern modules.

ec383d9535711aaedfe21275c5dcadfb37354d7b authored about 1 year ago by John Demme <[email protected]>
[FIRRTL][LOA][NFC] Rework, attempt to fix w/MSVC.

f8a6d0fca1d6de6c295f5f2271359af218f43bf8 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Support properties in aggregates, remove immediately. (#5993)

* Use AtomicFieldIDTypeInterface, move Properties to implement it.
* Update tests to reflect IR...

b377f883ded678230806fbfa87de385755722184 authored about 1 year ago by Will Dietz <[email protected]>
[NFC][ExportVerilog] Remove deadcode. (#5992)

Remove computation of instance port list, which is not used.

dcc11a2af8016e6249a9cf5fc90aaa7e3d503ffb authored about 1 year ago by Prithayan Barua <[email protected]>
[FIRRTL][IMCP][NFC] Comment typo touchups.

e61d7c194a3ee99173471d2c27d3312c9c7397bd authored about 1 year ago by Will Dietz <[email protected]>
[HW][FIRRTL][NFC] Add AtomicFieldIDTypeInterface for no-field types. (#5987)

7f28c411bedfd1539f7eafc2a221067d87f01da0 authored about 1 year ago by Will Dietz <[email protected]>
[NFC][LowerFIRRTLAnnotations] Do not shadow pass parameters (#5988)

4b336bc1d6c273cc8c566f1be879f055202d507a authored about 1 year ago by Nandor Licker <[email protected]>
[NFC] dead variable, remove warning

62728f68e2561ffaeaac022e767a8e8b69d376ea authored about 1 year ago by Andrew Lenharth <[email protected]>
[OM] Add om.enum type (#5982)

This commit adds om.enum type.

b7701994264c33a47173adc3586582ad53340691 authored about 1 year ago by Hideto Ueno <[email protected]>
[FIRRTL][InferWidths] Ignore propassign, don't error. (#5984)

Fixes #5983.

b64fc003167ffc2816c3da00489241cc15875b22 authored about 1 year ago by Will Dietz <[email protected]>
[ExtractInstances] Fix module prefix not being applied to NLA (#5975)

When instances are grouped after extraction, the group module would
inherit the appropriate pre...

0d1cf34c85aaf31dd92ef30d7835bd33409d6ca5 authored about 1 year ago by Fabian Schuiki <[email protected]>
[NFC] Move some port info accessors to the portlist interface rather than routing everything through moduletype. This should improve performance for several points

196cdbeba1623016015b1fa24c4376f387a3e6c8 authored about 1 year ago by Andrew Lenharth <[email protected]>
Fix port type issue with inout (#5977)

Latent bug when an inout needs to be spilled to a wire for certain emission options.

ef93177303bcfc3fabccef493cdc2de81edd2040 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] remove dead code

f0647f86e85a9e8cbaad556666c3f7ddac8d7e42 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] remove dead code

b4f312143ffc90305ef2e5b2db3294582d4f39b9 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] remove dead code

af36bffb7875eae1edf4d65f3f29c218665aa5b1 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] Don't directly poke location attributes from FlattenIO.cpp (#5970)

eb9715947dc52ba474500aec37034fc14d53f6f9 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] verifyType was redundant with TypeAttrOf

aa330b360c8885b9c424c3eb8cb71804d26f0733 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] remove dead code

10806b5b69818d3dcfbb9f339feaece52f9add13 authored about 1 year ago by Andrew Lenharth <[email protected]>
[Ibis] Add portref lowering pass (#5922)

We do this by analyzing how a portref is used inside a container, and then creating an in- or ou...

5c7d2d81d98fa8bf8fc260e244c1baff3846114c authored about 1 year ago by Morten Borup Petersen <[email protected]>
[firtool] Register conversion passes to make avail for mlir-print-ir. (#5976)

Test a bit.

8d1d68e929ae645947c1afe8da7cbc9a35d02177 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL] getOrAddInnerSym: fix bug when targeting a field (#5978)

When an `InnerSymbolTarget` targets a field, `target.isOpOnly()` returns false.
`getOrAddInnerS...

83993e321801db86df88ca0e8ea54fbbe50b5503 authored about 1 year ago by Andrew Young <[email protected]>
[NFC] Drop a couple global port accessors and replace with per-class versions

fbeed340ad454780071899eb84ba8200b3ba5989 authored about 1 year ago by Andrew Lenharth <[email protected]>
[FIRRTL][NFC] Split text for types out from summary if long, tweak. (#5969)

Appears that multi-line strings get rendered differently,
so just use `summary = "...";` for th...

4cec13bb9e105805b0993641b07ddc433aa9c2c2 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL][NFC] Automatically register ODS types. (#5968)

efae91c27e98f1676caa5cc3d21fb364d18e5c0a authored about 1 year ago by Will Dietz <[email protected]>
[OM] Add dedicated path attribute (#5972)

This attribute represents a value of Path type, and can be used with the OM
constant operation....

b29ed8305eb8ea0c63d3b2d7c437915785bda002 authored about 1 year ago by Andrew Young <[email protected]>
[HW] HierPathOp: support path to modules (#5973)

This changes the HierPathVerifier to support single-element paths which
point to modules. HierP...

154ac81cbf7135855a2ff0bd992108d51bc3cce1 authored about 1 year ago by Andrew Young <[email protected]>
[OM] Class ops should not be symbol tables

Currently, OM class operations are symbols tables and their fields are symbols,
which is used to...

5fb4cf5fd3a3dcaa05b43e340120ce9c3a75f597 authored about 1 year ago by Andrew Young <[email protected]>
[OM][LowerClasses] Insert lowered ClassOps into the FIRRTL circuit

OM path operations use a HierPathOp to identify their target. To facilitate
this, it makes sens...

09df7f53ed0dd264e77e7811f87dfab4d73e7f6e authored about 1 year ago by Andrew Young <[email protected]>
[FIRRTL] Map: MapCreateOp, parsing support. (#5962)

Add operation for creating Map's, add parsing support and tests.

81678d3e7d6955a04702d6cfcc70707602033d87 authored about 1 year ago by Will Dietz <[email protected]>
[ci] Change Windows PR Action to use Unified B/T/I (#5955)

Change the GitHub Action that checks that the "main" branch isn't broken
for Windows builds to ...

806b4153b47db2da363dcb7d33b00064f49a8b7b authored about 1 year ago by Schuyler Eldridge <[email protected]>
[NFC] remove another direct location attribute access

7f7247e8bb1d22491d378c72ab6dbf800096fe11 authored about 1 year ago by Andrew Lenharth <[email protected]>
[OM] Support graph regions in OM dialect (#5407)

This implements region kind interface for class op to support graph regions. Graph regions are u...

483e09cdf44211bf7737276151a3a1bb2a82aea7 authored about 1 year ago by Hideto Ueno <[email protected]>
Revert "Revert "[NFC] HWToLLHD can use more direct functions"", now with more fixes.

This reverts commit 010399c6ba68a7f9955f3b24edd52ff95f0943b3 and address the memory lifetime issue.

9f17c6b24947cce189ef13c45bd2dc2d653e8bda authored about 1 year ago by Andrew Lenharth <[email protected]>
[Seq] Lower registers with clock types (#5950)

ed7546e6b1dffad2c4191a75aa1900cc719b349c authored about 1 year ago by Nandor Licker <[email protected]>
LLVM bump 08/22/2023 -> 08/25/2023 (#5932)

https://github.com/llvm/llvm-project/compare/d1e685df45dc5944b43d2547d0138cd4a3ee4efe...08ed5577...

4c04bbd2cf58d83d4d62144dbccf78d2d68fe6b5 authored about 1 year ago by Will Dietz <[email protected]>
[NFC] Move from free functions to interface for names and attrs

229d3754a9b105d4938c07829e345a47f587abe5 authored about 1 year ago by Andrew Lenharth <[email protected]>
[FIRRTL][LowerClasses] Bool support. (#5958)

Maps to an i1.

a174459eae546e05bc631007c57eb8f5bc71717c authored about 1 year ago by Will Dietz <[email protected]>
Revert "[NFC] HWToLLHD can use more direct functions"

Un-breaking build pending investigation.

Broke test, see:
https://github.com/llvm/circt/actions...

010399c6ba68a7f9955f3b24edd52ff95f0943b3 authored about 1 year ago by Will Dietz <[email protected]>
[FIRRTL] Bool: type, constant, parser/emitter. (#5956)

Pretend Bools are in 3.1.0 for now.

c14bfb884fb613c38f8173bc566688ba0180b36d authored about 1 year ago by Will Dietz <[email protected]>
[NFC] Move more files to module api from function api

7f09919095445e40671263d9aebfcda21e3e983a authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] Move more files to module api from function api

488e0e712cbba81b715dd7b1fd90604416dced78 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] StripSV: Don't assume the return type of get*Names()

3ab2b30ede6d4c8bc46386004bb9df7f001e1355 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] Move more files over to module functions from functiontypes

b258faa2a9ba5964ae18c77d8d9f273be4ad08e5 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] HWToLLHD can use more direct functions

6199e13a6350e67006962258137a8280dc79b38c authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] simplify some HWModule api usage

6aa67695c91de255a8362f1a586c9da2f56a2af6 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] missing an attribute in HWTestModule

00fc15b82fd728828c93b2900977cf467d50e899 authored about 1 year ago by Andrew Lenharth <[email protected]>
[ci] Make package_name_prefix optional

Change the workflow_call "package_name_prefix" of the unified
build/test/install GitHub workflow...

9ba8a93dc11eb150f7c72b2e25a9c312dac9673b authored about 1 year ago by Schuyler Eldridge <[email protected]>
Flow checking for propassign (#5873)

[FIRRTL] Flow checking for propassign

- An output property port cannot be read
- An Input po...

4bd7658f7861783e83dd17a084fd66cf64cafcdd authored about 1 year ago by Robert Young <[email protected]>
[FIRRTL] Fix crash resolving annotations through operations w/o "type". (#5948)

Some operations don't have a value/type named when they are the target
of an annotation or symb...

231893a40e9394fb076abd2ca1596fc0eed5d58e authored about 1 year ago by Will Dietz <[email protected]>
[calyx-native] run `lower-guards` in the native compiler (#5953)

fc31fed571d4f69009df30dcf1291b9f6aac0f44 authored about 1 year ago by Rachit Nigam <[email protected]>
[Ibis] Add tunneling pass (#5921)

Tunnels relative `get_port` ops through the module hierarchy, based on `ibis.path` ops. The res...

edd9a82a77e583cfe8dbc7e095288b462ceb75ca authored about 1 year ago by Morten Borup Petersen <[email protected]>
[ESI] Fix non-capnp builds (#5952)

Should fix #5951.

7dd309f6fa1d8de4c7cbaaeaea059347b13d5d88 authored about 1 year ago by John Demme <[email protected]>
[Ibis] Add Wire ops, canonicalizers and SSA names (#5920)

Bunch of op-related changes grouped together by being a net quality improvement of the dialect.

cbbeec4c7e8473a09f17f9ed9b3e0e27fb6a3682 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Ibis] Introduce PathOp (#5867)

The `ibis.path` operation describes an instance hierarchy path relative to the current scope. Th...

360e7d667bb7dfea0bee5fe0c4a79c8fda4ad868 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Pipeline] Propagate names in `-pipeline-explicit-regs` (#5936)

Detect `sv.namehint` or OpAsmOpInterface's when routing values, and use these as the basis for r...

5c955b2f21dd9680d23b5d03c08f5321bb03407e authored about 1 year ago by Morten Borup Petersen <[email protected]>
[Handshake] `StandardToHandshake` -> `CFToHandshake` (#5938)

... long awaited.

7a3b9e478b1b346b6ee4788f41b8b453000b6242 authored about 1 year ago by Morten Borup Petersen <[email protected]>
[ci] Fix UBTI erroring on no install target

Fix a bug in the UBTI workflow where this would error if no install target
was given. This work...

ff169cc4895738a967006c3feac3ada640897053 authored about 1 year ago by Schuyler Eldridge <[email protected]>
[ci] Fix UBTI Workflow runTests boolean

Fix a problem where the runTests input only worked correctly for
workflow_dispatch, but not for ...

727a0dd6222e3f8607afa450315978ba89f42acf authored about 1 year ago by Schuyler Eldridge <[email protected]>
[ci] Add complete list of clangs to UBTI

Add all available clang versions to the Unified Build/Test/Install GitHub
Workflow. This is don...

54c2efb5568be5e68966aba7ab2ddac85b7b46ad authored about 1 year ago by Schuyler Eldridge <[email protected]>
Revert "[ci] Change Linux artifact compiler to use "clang""

This reverts commit 9aa2d2b9c39a9d0442ba63f1460e68515bb1a98e.

h/t @dtzSiFive

Signed-off-by: Sc...

93b5dd50c72e31dae91b9b7f8b3f04e90752fa1e authored about 1 year ago by Schuyler Eldridge <[email protected]>
[ci] Add all runner options to Unified B/T/I, NFC

Add all runner options to Unified B/T/I workflow.

Signed-off-by: Schuyler Eldridge <schuyler.el...

cf61b00344b6b04ca511d202673469334dce5767 authored about 1 year ago by Schuyler Eldridge <[email protected]>
[FIRRTL] Add path op parsing (#5946)

This adds parsing for path operations in to unresolved paths.

e6e1e01c9b18108a77dc07f7d5fe44376158f432 authored about 1 year ago by Andrew Young <[email protected]>
[OM] Add path type

This adds a type for representing paths to the OM Dialect. A value of path
type represents the ...

32e2b1f7a6713821048859414dd14971c9307664 authored about 1 year ago by Andrew Young <[email protected]>
[OM] Add path operation

This new operation represents the path to a hardware entity. It is similar to
the FIRRTL path o...

bdf3c5b363dccaa0795f93ab58a64d5e0336d6dc authored about 1 year ago by Andrew Young <[email protected]>
[FIRRTL] Add ResolvePathsPass

This pass transforms all UnresolvedPathOps in to PathOps.

55014a43780174de2102e6df44ee1687f3c5222f authored about 1 year ago by Andrew Young <[email protected]>
[FIRRTL] alphabetize file list, NFC

46c0fe6b46c76f642112186ab15c7a59697d3751 authored about 1 year ago by Andrew Young <[email protected]>
[ci] Change Linux artifact compiler to use "clang"

Switch from using "clang-12"/"clang++-12" to just "clang"/"clang++". This
doesn't appear to be ...

9aa2d2b9c39a9d0442ba63f1460e68515bb1a98e authored about 1 year ago by Schuyler Eldridge <[email protected]>
[OM] Add TargetKind attribute for path operations (#5943)

This attribute encodes the different kinds of targets used by OMIR. These will
be used by the ...

ef63bcd87884a75b03a578e1942c5bd0b9a62ed9 authored about 1 year ago by Andrew Young <[email protected]>
[Seq] Added seq.clock_div_2 to divide a clock by 2 (#5937)

ee30b7427142bb87c91448fa9a4cdccb9e250268 authored about 1 year ago by Nandor Licker <[email protected]>
[Seq] Introduce a clock type (#5919)

The clock type will be used to carry the clock signal in a design.
This PR allows it to be plac...

66ba2ac7ca8cd7f7216cf5e9c9d5eca97ddd636c authored about 1 year ago by Nandor Licker <[email protected]>
[FIRRTL] re-work PathOp to use annotations (#5933)

The PathOp is being re-worked to remotely reference hardware objects using
(possibly non-local)...

51cb0103064ad8b174bef5e89cfa6bcfca7f7f77 authored about 1 year ago by Andrew Young <[email protected]>
[HW] HWModules don't implement FunctionOpInterface (#5939)

22daf52f1dc699fd1608751184f2306c7c667a7a authored about 1 year ago by Andrew Lenharth <[email protected]>
[ESI][Cosim] Add low-level MMIO functionality (#5934)

Add base-level MMIO functionality to cosim. Exposes an AXI-lite master interface which is driven...

a1b50a21cac6827faf9d54e51cc634753f2e79ff authored about 1 year ago by John Demme <[email protected]>
[NFC] don't drop attributes when rewriting modules

84ce0ab9913f0d67d5adfcede37834c7843b9104 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] Arith to HW lowering is robust to ModuleType

8ee212068605cf336948de11c6fb69b0debb6892 authored about 1 year ago by Andrew Lenharth <[email protected]>
[NFC] Move LegalizeAnonEnum off of FunctionOpInterface

54d65ec50828f6caf4cd89ecb959be06c3b41129 authored about 1 year ago by Andrew Lenharth <[email protected]>
[SV] Add suffix options to --hw-eliminate-inout-ports (#5925)

63aab570d63e69836f3c370a72f6d65d11152c90 authored about 1 year ago by Morten Borup Petersen <[email protected]>
Calyx native callout pass (#5879)

* start working on adding a calyx native callout pass

* missing entry in CMakeLists for circt...

d35c2de896cdd0371e3ae87b919a8cd614baaebd authored about 1 year ago by Rachit Nigam <[email protected]>
[FIRRTL][LowerToHW] Copy InnerSymAttrs to lowered ops (#5928)

This change ensures that when lowering InnerSymbol operations, we copy the
existing inner symbo...

56e98bed360b3638152a92491d15b6affdc20c6a authored about 1 year ago by Andrew Young <[email protected]>
[FIRRTL] Add end to end properties example

bdec2b94b7b2f20d451f3d2b6bb059f0e83e9116 authored about 1 year ago by Andrew Young <[email protected]>